• 検索結果がありません。

Intoroduction to Quartus IIマニュアル Ver. 4.2

N/A
N/A
Protected

Academic year: 2021

シェア "Intoroduction to Quartus IIマニュアル Ver. 4.2"

Copied!
254
0
0

読み込み中.... (全文を見る)

全文

(1)

Introduction to

Quartus

®

II

日本語版 バージョン 4.2

Altera Corporation

101 Innovation Drive

San Jose, CA 95134

(408) 544-7000

www.altera.com

® ®

(2)

Introduction to Quartus II Version 4.2 Rev.1

2004年12月 P25-09235-03/JP Altera、Alteraロゴ、FastTrack、HardCopy、MAX、MAX+PLUS、MAX+PLUS II、MegaCore、MegaWizard、NativeLink、Nios、OpenCore、

Quartus、Quartus II、Quartus IIロゴ、およびSignalTapは、Altera Corporationの米国およびその他の国における登録商標です。Avalon、

ByteBlaster、ByteBlasterMV、Excalibur、IP MegaStore、Jam、LogicLock、MasterBlaster、MegaLAB、PowerFit、SignalProbe、および

USB-Blasterは、Altera Corporationの米国およびその他の国における商標またはサービス・マークです。Altera Corporationが使用する 製品デザイン・エレメントおよびニーモニックは、著作権および商標法で保護されています。

Altera Corporationは、本資料で言及している他の企業とその関連製品名またはサービスの商標およびサービス・マークを承認していま す: ARMは ARM, Limitedの登録商標です。AMBAはARM, Limitedの商標です。Mentor GraphicsおよびModelSimは、Mentor Graphics Corporationの登録商標です。ModelTechnologyは、Mentor Graphics Corporationの商標です。

Altera reserves the right to make changes, without notice, in the devices or the device specifications identified in this document. Altera advises its customers to obtain the latest version of device specifications to verify, before placing orders, that the information being relied upon by the customer is current. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera’s standard warranty. Testing and other quality control techniques are used to the extent Altera deems such testing necessary to support this warranty. Unless mandated by government requirements, specific testing of all parameters of each device is not necessarily performed. In the absence of written agreement to the contrary, Altera assumes no liability for Altera applications assistance, customer’s product design, or infringement of patents or copyrights of third parties by or arising from use of semiconductor devices described herein. Nor does Altera warrant or represent any patent right, copyright, or other intellectual property right of Altera covering or relating to any combination, machine, or process in which such semiconductor devices might be or are used.

Altera products are not authorized for use as critical components in life support devices or systems without the express written approval of the president of Altera Corporation. As used herein: 1. Life support devices or systems are devices or systems that (a) are intended for surgical implant into the body or (b) support or sustain life, and whose failure to perform, when properly used in accordance with instructions for use provided in the labeling, can be reasonably expected to result in a significant injury to the user. 2. A critical component is any component of a life support device or system whose failure to perform can be reasonably expected to cause the failure of the life support device or system, or to affect its safety or effectiveness.

Products mentioned in this document are covered by one or more of the following U.S. patents: 4609986; 4617479; 4677318; 4713792; 4774421; 4785423; 4831573; 4864161; 4871930; 4899067; 4899070; 4903223; 4912342; 4930097; 4930098; 4930107; 4969121; 5045772; 5066873; 5091661; 5097208; 5111423; 5121006; 5128565; 5138576; 5144167; 5162680; 5166604; 5187392; 5200920; 5220214; 5220533; 5237219; 5241224; 5243233; 5247477; 5247478; 5258668; 5260610; 5260611; 5266037; 5268598; 5272368; 5274581; 5280203; 5285153; 5294975; 5301416; 5309046; 5315172; 5317210; 5329487; 5341044; 5341048; 5341308; 5349255; 5350954; 5352940; 5353248; 5359242; 5359243; 5369314; 5371422; 5376844; 5384499; 5399922; 5414312; 5432467; 5434514; 5436574; 5436575; 5438295; 5444394; 5463328; 5473266; 5477474; 5483178; 5485102; 5485103; 5486775; 5487143; 5488586; 5490266; 5493519; 5493526; 5495182; 5498975; 5517186; 5523247; 5523706; 5525827; 5525917; 5537057; 5537295; 5537341; 5541530; 5543730; 5543732; 5548228; 5548552; 5550782; 5550842; 5557217; 5561757; 5563592; 5565793; 5567177; 5570040; 5572067; 5572148; 5572717; 5574893; 5581501; 5583749; 5590305; 5592102; 5592106; 5598108; 5598109; 5604453; 5606266; 5606276; 5608337; 5612642; 5614840; 5621312; 5631576; 5633830; 5642082; 5642262; 5649163; 5650734; 5659717; 5668771; 5670895; 5672985; 5680061; 5689195; 5691653; 5693540; 5694058; 5696455; 5699020; 5699312; 5705939; 5717901; 5729495; 5732020; 5740110; 5744383; 5744991; 5757070; 5757207; 5760624; 5761099; 5764079; 5764080; 5764569; 5764583; 5767734; 5768372; 5768562; 5771264; 5787009; 5790469; 5793246; 5796267; 5801541; 5802540; 5805516; 5809034; 5809281; 5812450; 5812479; 5815003; 5815024; 5815726; 5821771; 5821773; 5821787; 5825197; 5828229; 5834849; 5835998; 5838584; 5838628; 5844854; 5845385; 5847617; 5848005; 5850151; 5850152; 5850365; 5859542; 5859544; 5861760; 5869979; 5869980; 5870410; 5872463; 5872529; 5873113; 5875112; 5878250; 5880596; 5880597; 5880725; 5883526; 5883850; 5892683; 5893088; 5894228; 5898318; 5898628; 5898630; 5900743; 5904524; 5905675; 5909126; 5909375; 5909450; 5914509; 5914904; 5915017; 5915756; 5923567; 5925904; 5926036; 5936425; 5939790; 5940852; 5942914; 5943267; 5945870; 5949239; 5949250; 5949710; 5949991; 5953537; 5959891; 5963049; 5963051; 5963069; 5963565; 5966597; 5968161; 5969626; 5970255; 5977791; 5977793; 5978476; 5982195; 5983277; 5986465; 5986470; 5996039; 5998263; 5998295; 5999015; 5999016; 6002182; 6005379; 6005806; 6011406; 6011730; 6011744; 6014334; 6018476; 6018490; 6020758; 6020759; 6020760; 6023439; 6025737; 6026226; 6028787; 6028808; 6028809; 6029236; 6031391; 6031763; 6032159; 6034536; 6034540; 6034857; 6037829; 6038171; 6040712; 6043676; 6045252; 6049223; 6049225; 6052309; 6052327; 6052755; 6057707; 6058452; 6060903; 6064599; 6066960; 6069487; 6072332; 6072358; 6075380; 6076179; 6078521; 6080204; 6081449; 6084427; 6085317; 6091102; 6091258; 6094064; 6097211; 6102964; 6104208; 6107820; 6107822; 6107824; 6107825; 6107854; 6108239; 6110223; 6112020; 6114915; 6115312; 6118302; 6118720; 6120550; 6121790; 6122209; 6122720; 6127217; 6127844; 6127846; 6127865; 6128215; 6128692; 6130552; 6130555; 6134166; 6134173; 6134705; 6134707; 6137313; 6144573; 6147511; 6150840; 6151258; 6154055; 6154059; 6157208; 6157210; 6157212; 6160419; 6161211; 6163166; 6163195; 6166559; 6167364; 6169417; 6172900; 6173245; 6175952; 6177844; 6180425; 6181159; 6181160; 6181161; 6181162; 6182020; 6182247; 6184703; 6184705; 6184706; 6184707; 6184707; 6184710; 6185725; 6187634; 6191608; 6191611; 6191998; 6192445; 6195772; 6195788; 6198303; 6201404; 6202185; 6204688; 6205579; 6208162; 6212668; 6215326; 6218859; 6218860; 6218876; 6219284; 6219785; 6222382; 6225822; 6225823; 6226201; 6232893; 6236094; 6236231; 6236237; 6236260; 6236597; 6239612; 6239613; 6239615; 6242941; 6242946; 6243296; 6243304; 6246260; 6246270; 6247147; 6247155; 6249143; 6249149; 6252419; 6252422; 6255846; 6255850; 6259271; 6259272; 6259588; 6262595; 6262933; 6263400; 6263482; 6265746; 6265895; 6265926; 6268623; 6269020; 6271679; 6271680; 6271681; 6271729; 6275065; 6278288; 6278291; 6279145; 6281704; 6282122; 6285211; 6286114; 6288970; 6292016; 6292017; 6292116; 6294928; 6295230; 6297565; 6298319; 6300792; 6300794; 6301694; 6311309; 6314550; 6317367; 6317771; 6317860; 6320411; 6321367; 6321369; 6323677; 6323680; 6326807; 6326812; 6335634; 6335635; 6335636; 6337578; 6340897; 6342792; 6342794; 6344755; 6344758; 6344989; 6346827; 6347061; 6351144; 6351152; 6353551; 6353552; 6356108; 6356110; 6359468; 6359469; 6362646; 6363505; 6365929; 6366119; 6366120; 6366121; 6366224; 6366498; 6367056; 6367058; 6369613; 6369624; 6373278; 6373280; 6377069; 6384625; 6384629; 6384630; 6389558; 6392438; 6392954; 6396304; 6400290; 6400598; 6400635; 6401230; 6404225; 6407450; 6407576; 6408432; 6411124; 6414514; 6414518; 6417550; 6417692; 6417694; 6421812; 6423572; 6429681; 6433579; 6433585; 6437650; 6442073; 6448820; 6453382; 6459303; 6460148; 6462414; 6462577; 6462597; 6467017; 6469553; 6472272; 6472903; 6480025; 6480027; 6480028; 6480995; 6481000; 6483886; 6485843; 6486702; 6489817; 6490714; 6490717; 6492833; 6492834; 6507216; 6515507; 6515508; 6525564; 6525678; 6526461; 6531889; 6532170; 6535031; 6538469; 6538470; 6549032; 6549045; 6556044; 6556500; 6556502; 6563343; 6563367; 6566906; 6570404; 6573138; 6577157; 6577160; 6583646; 6586966; 6588004; 6590413; 6590419; 6593772; 6596618; 6599764; 6600337; 6601221; 6604228; 6605960; 6605962; 6614259; 6614261; 6617884; 6621326; 6624467; 6624495; 6624524; 6625771; 6625796; 6627517; 6628140; 6629311; 6630842; 6630844; 6631510; 6633185; 6634009; 6636070; 6636936; 6642064; 6642758; 6646467; 6646919; 6650140; 6651155; 6653862; 6657456; 6658564; 6661253; 6661733; 6664846; 6667641; 6670825; 6680871; 6681378; 6686769; 6690195; 6691267; 6693455; 6697773; 6704889; 6707315; 6707399; 6714042; 6714044; 6714050; 6715023; 6720796; 6724080; 6724222; 6724328; 6727727; RE35977; RE37060; and certain foreign patents. Additional patents are pending.

(3)

目次

はじめに

...viii

表記規則

... ix

1

章:デザイン・フロー

...1

はじめに

...2

グラフィカル・ユーザ・インタフェースのデザイン・フロー

...3

EDA

ツールを用いた場合のデザイン・フロー

...9

コマンドライン・デザイン・フロー

...15

実行コマンド

...16

標準コマンドライン用コマンドおよびスクリプト

...20

Tcl

コマンド

...23

Makefile

スクリプトの作成

...26

設計手法およびデザイン・プラン

...28

トップダウン設計手法とボトムアップ設計手法

...29

ブロック・ベースのデザイン・フロー

...29

デザインの分割

...30

2

章:デザイン・エントリ

...31

はじめに

...32

プロジェクトの作成

...33

リビジョン

...35

バージョン互換のデータベース

...39

MAX+PLUS II

プロジェクトの変換

...40

デザインの作成

...41

Quartus II

ブロック・エディタ

...42

Quartus II

テキスト・エディタ

...43

Quartus II

シンボル・エディタ

...44

Verilog HDL

VHDL

および

AHDL ...44

アルテラのメガファンクション

...45

IP

Intellectual Property

)メガファンクション

...47

MegaWizard Plug-In Manager ...48

Quartus II

開発ソフトウェアでのメガファンクションの インスタンス

...49

Verilog HDL

および

VHDL

におけるインスタンス

...50

ポートおよびパラメータ定義

...50

メガファンクションの推定

...50

EDA

ツールでのメガファンクションのインスタンス

...51

ブラック・ボックス手法

...51

推定によるインスタンス

...51

クリア・ボックス手法

...52

(4)

目次

3

章:制約の入力

... 55

はじめに

... 56

アサインメント・エディタ

... 57

Settings

ダイアログ

... 59

アサインメントのインポート

... 60

ピン・アサインメントの検証

... 62

4

章:論理合成

... 63

はじめに

... 64

Quartus II

Verilog HDL

および

VHDL

論理合成機能

... 65

他の

EDA

合成ツール

... 69

Analysis & Synthesis

の制御

... 71

コンパイラのディレクティブおよびアトリビュート

... 72

Quartus II

ロジック・オプション

... 72

Quartus II

合成ネットリスト最適化オプション

... 74

デザイン・アシスタントを使用したデザインの信頼性のチェック

... 75

RTL Viewer

による合成結果の解析

... 76

Technology Map Viewer

による合成結果の解析

... 78

インクリメンタル・シンセシス

... 80

5

章:配置配線

... 83

はじめに

... 84

フィッティング結果の解析

... 86

メッセージ・ウィンドウを使用したフィッティング結果の表示

... 86

レポート・ウィンドウまたはレポート・ファイルを使用した フィッティング結果の表示

... 87

Timing Closure Floorplan

を使用した結果の解析

... 89

デザイン・アシスタントを使用したデザインの信頼性のチェック

... 91

フィッティングの最適化

... 91

ロケーション・アサインメント

... 91

配置配線を制御するオプションの設定

... 92

フィッタ・オプションの設定

... 92

フィジカル・シンセシス最適化オプションの設定

... 93

フィッティングに影響のあるロジック・オプション

... 94

Resource Optimization Advisor ... 94

デザイン・スペース・エクスプローラ

... 97

(5)

目次

6

章:ブロック・ベース・デザイン

...105

はじめに

...106

Quartus II

ブロック・ベース・デザイン・フロー

...106

LogicLock

領域

...108

中間合成結果の保存

...111

LogicLock

領域アサインメントのバック・アノテーション

...112

LogicLock

アサインメントのエクスポートおよびインポート

...113

EDA

ツールと

LogicLock

の使い方

...115

7

章:シミュレーション

...117

はじめに

...118

EDA

ツールを使用したデザインのシミュレーション

...119

EDA

シミュレーション・ツール設定の指定

...120

シミュレーション出力ファイルの生成

...121

EDA

シミュレーション・フロー

...123

機能シミュレーション・フロー

...123

NativeLink

シミュレーション・フロー

...123

手動タイミング・シミュレーション・フロー

...124

シミュレーション・ライブラリ

...125

Quartus II

シミュレータを使用したデザインのシミュレーション

...126

波形ファイルの作成

...129

Simulator Tool...130

8

章:タイミング解析

...131

はじめに

...132

Quartus II

開発ソフトウェアにおけるタイミング解析の実行

...133

タイミング要求値の指定

...133

プロジェクト全体でのタイミング設定の指定

...135

個々のタイミング・アサインメントの指定

...136

タイミング解析の実行

...137

Early Timing Estimate

の実行

...140

タイミング解析結果の表示

...142

レポート・ウィンドウ

...142

アサインメントの実行および遅延パスの表示

...143

Technology Map Viewer ...146

EDA

ツールを使用したタイミング解析の実行

...147

PrimeTime...147

(6)

目次

9

章:タイミング・クロージャ

... 151

はじめに

... 152

Timing Closure Floorplan ... 152

アサインメントおよび配線の表示

... 153

アサインメントの作成

... 155

Timing Optimization Advisor... 156

ネットリスト最適化オプションを使用したタイミング・クロージャの 実現

... 157

LogicLock

領域を使用したタイミング・クロージャの実現

... 160

ソフト

LogicLock

領域

... 161

パス・ベースのアサインメント

... 161

タイミング・クロージャを実現するデザイン・スペース・ エクスプローラ

... 163

10

章:消費電力の解析

... 165

はじめに

... 166

PowerPlay

パワー・アナライザを使用した電力解析の実行

... 166

パワー・アナライザ・オプションの指定

... 168

PowerPlay Early Power Estimator... 170

11

章:プログラミングおよびコンフィギュレーション

... 173

はじめに

... 174

Programmer

を使用した

1

つまたは複数のデバイスのプログラミング

... 179

二次プログラミング・ファイルの作成

... 180

他のプログラミング・ファイル・フォーマットの作成

... 180

プログラミング・ファイルの変換

... 182

Quartus II

開発ソフトウェアを使用したリモート

JTAG

サーバ経由の プログラム

... 187

12

章:デバッグ

... 189

はじめに

... 190

SignalTap II

ロジック・アナライザ

... 191

SignalTap II

ロジック・アナライザのセット・アップおよび実行

... 191

SignalTap II

データの解析

... 195

SignalProbe... 198

In-System Memory Content Editor ... 200

RTL Viewer

および

Technology Map Viewer ... 202

(7)

目次

13

章:

ECO (

設計変更管理

) ...205

はじめに

...206

Chip Editor

における遅延およびクリティカル・パスの識別

...207

Chip Editor

による素子の編集

...208

Resource Property Editor

によるリソース・プロパティの変更

...209

Change Manager

における変更の表示および管理

...211

ECO

による影響の検証

...213

14

章:フォーマル検証

...215

はじめに

...216

EDA

ベンダのフォーマル検証ツール

...217

追加設定の指定

...219

15

章:システム・レベル・デザイン

...221

はじめに

...222

SOPC Builder

を使用した

SOPC

デザインの作成

...223

システムの作成

...224

システムの生成

...225

DSP Builder

を使用した

DSP

デザインの作成

...226

インスタンス・ファンクション

...226

シミュレーション・ファイルの生成

...227

合成ファイルの生成

...227

16

章:ソフトウェア開発

...229

はじめに

...230

Quartus II

開発ソフトウェアにおける

Software Builder

の使い方

...230

ソフトウェア構築設定の指定

...231

ソフトウェア出力ファイルの生成

...231

フラッシュ・プログラミング・ファイルの生成

...233

パッシブ・プログラミング・ファイルの生成

...234

メモリ初期設定データ・ファイルの生成

...236

17

章:インストール、ライセンスおよびテクニカル・サポート

...239

Quartus II

開発ソフトウェアのインストール

...240

Quartus II

開発ソフトウェアのライセンス

...241

テクニカル・サポート

...243

18

章:資料およびその他のリソース

...245

オンライン・ヘルプの参照

...246

Quartus II

オンライン・チュートリアル

...247

その他の

Quartus II

開発ソフトウェアの資料

...248

その他の資料

...249

(8)

はじめに

アルテラ (Altera®) のQuartus® II開発ソフトウェアは、system-on-a-programmable-chip (SOPC) デザインに最適な最も包括的な環境を提供します。 これまでに、 MAX+PLUS®II ソフトウェア、他のデザイン・ソフトウェア、または ASIC デザイ ン・ソフトウェアを使用した経験があり、Quartus II 開発ソフトウェアへの切り替 えをお考えの方、またはすでにQuartus II 開発ソフトウェアを使用中でその機能を より詳しく理解しようとお考えの方は、是非このマニュアルをご一読ください。 このマニュアルは、Quartus II 開発ソフトウェアに初めて触れる方を対象としてお り、プログラマブル・ロジック・デザインで発揮されるQuartus II 開発ソフトウェ アの機能の概要を説明しています。 ただし、Quartus II開発ソフトウェアの完全なリ ファレンス・マニュアルとして編集されているわけではありません。 むしろ、ソフ トウェアの機能と、その機能がFPGAおよびCPLDデザインでどのように役立つかを 説明しています。 このマニュアルは、プログラマブル・ロジック・デザイン・タス ク特有の流れに沿って構成されています。 Quartus IIグラフィカル・ユーザ・インタ フェース、他のEDAツール、またはQuartus IIコマンドライン・インタフェースを 使用するとき、このマニュアルは、デザイン・フローに最適な機能をガイドします。 最初の章では、主なグラフィカル・ユーザ・インタフェース、EDAツール、コマン ドライン・インタフェース・デザイン・フローの概要を説明しています。 それ以降 の各章では、その章の特定の目的に対する説明から始まり、各タスク・フローの概 要を説明しています。 各章では、Quartus II開発ソフトウェアと既存のEDAツールお よびコマンドライン・デザイン・フローを統合する方法が示されています。 さらに、

アルテラのWeb サイトの Quartus II オンライン・ヘルプおよび Quartus II オンライ ン・チュートリアル、アプリケーション・ノート、ホワイト・ペーパー、その他の 資料およびリソースなど、Quartus II 開発ソフトウェアを使用するにあたって役立 つ他のリソースも紹介しています。 このマニュアルに沿ってQuartus II開発ソフトウェアを一通りご利用いただければ、 生産性が向上し、デザイン・サイクルを短縮できるほか、既存のプログラマブル・ ロジック・デザイン・フローとうまく統合し、デザイン、パフォーマンスやタイミ ング要求をすばやくかつ効果的に達成することができます。

(9)

表記規則

『Introduction to Quartus® II』マニュアルでは、情報の検索と解釈を簡単に行えるよ うに、次の表記規則を使用しています。

書体規則

本マニュアルでは、以下の書体規則を使用しています。 書体 : 意味 : 太字かつ文頭が大文字 コマンド名、ダイアログ・ボックス、ページ、およびタブのタイトル、 またボタン名は、太字かつ文頭が大文字で表記されています。 例:

Find Textコマンド、Save As ダイアログ、および Start ボタン。

太字 ディレクトリ名、プロジェクト名、ディスク・ドライブ名、ファイル 名、ファイルの拡張子、ソフトウェア・ユーティリティ名、ソフト ウェア実行コマンド名、およびダイアログ・ボックスのオプションは、 太字で表記されています。 例:quartus ディレクトリ、d: ドライブ、 license.dat ファイル。 文頭が大文字 キーボードのキー、ユーザが編集可能なアプリケーション・ウィンド ウ・フィールド、およびメニュー名は、単語の文頭が大文字で表記さ れています。 例: Delete キー、Options メニュー 「小見出しタイトル」 マニュアル・セクション内の小見出しは、引用符で囲んでいます。 ヘ ルプ・トピックのタイトルも引用符で囲んでいます。 斜体かつ文頭が大文字 ヘルプのカテゴリ、マニュアルの題名、マニュアルでのセクションの 題名、およびアプリケーション・ノートとブリーフ名は、斜体かつ文 頭が大文字で表記されています。 例:FLEXlm End Users Guide

斜体 変数は角括弧 (< >) で囲み、斜体で表記されています。 例: <ファイ ル名 >、<CD-ROM ドライブ > Courier フォント 表示されている通りに入力する必要のあるものは、Courier フォント で表記されています。 例: ¥quartus¥bin¥lmulti lmhostid  Enterキーまたは return キー ■ 項目の順序が重要でないものについては、各項目の行頭に青い四角が 付いています。

(10)

表記規則

用語

本マニュアルでは、全編を通して次の用語が使用されています。 足跡のマークは、記載されている項目の詳細情報の参照箇所を示します。 ✔ チェックマークは、1 ステップしかない手順を表します。

指差しマークは要注意箇所を表しています。 書体 : 意味 : 用語 : 意味 : 「クリック」 左マウス・ボタンを短く押して離す動作を示します。 「ダブル・クリック」 クリックをすばやく 2 回行うことを示します。 「選択 (choose)」 アクションを起こすために、マウスまたはキーの組み合わせを使 用する必要があることを示します。 「選択 (select)」 テキストおよび ( または ) オブジェクト、またはダイアログ・ボッ クスのオプションを、キーの組み合わせまたはマウスでハイライ トする必要があることを示します。 選択だけでは、アクションは開 始されません。 例: Chain Description File を選択し、OK をク リックします。

「オンにする」/「オフにする」 チェック・ボックスをクリックし、機能をオンまたはオフにする 必要があることを示します。

(11)

デザイン・フロー

第 1 章の内容 : はじめに 2 グラフィカル・ユーザ・インタフェースの デザイン・フロー 3 EDAツールを用いた場合のデザイン・フロー 9 コマンドライン・デザイン・フロー 15

1

第 1 章

(12)

第 1 章 : デザイン・フロー はじめに

はじめに

アルテラのQuartus® II開発ソフトウェアは、ユーザのデザイン・ニーズに簡単に適

用できる完全なマルチプラットフォームのデザイン環境を提供します。

System-on-a-programmable-chip (SOPC) デザイン向けの包括的な環境を実現するQuartus II開

発ソフトウェアは、FPGAおよびCPLDデザイン・フローの全段階に対するソリュー

ションを提供します。図 1に、Quartus IIデザイン・フローを示します。

図 1. Quartus II デザイン・フロー

Quartus II開発ソフトウェアでは、デザイン・フローの各段階においてQuartus IIグ ラフィカル・ユーザ・インタフェース、EDAツール・インタフェース、またはコマ ンドライン・インタフェースが使用可能です。これらのインタフェースの1 つをフ ロー全体で使用したり、デザイン・フローの各段階で機能の選択を行うことができ ます。 この章では、デザイン・フローの各段階で利用可能なオプションを説明して 䮎䮗䮊䭷 ⸳⸘ᄌᦝ▤ℂ 䎋䎨䎦䎲䎌 䮞䮴䭷䮰䮦䮺䭷䬙䭗䭂 䭺䮺䮜䭪䭵䮬䮳䯃䭾䮮䮺 䮆䭫䮦䮺䭷䯂䭶䮴䯃䭿䮪 䭾䮦䮬䮳䯃䭾䮮䮺 䮝䮴䮊䭶䯂䮠䯃䮀䯂䮎䭽䭫䮺䫺 䭾䮀䮍䮧䯂䮳䮠䮲䯂䮎䭽䭫䮺 䬙䭗䭂䮄䮜䮏䭭䭮䭩㐿⊒䭡฽䭏 䮆䭫䮦䮺䭷⸃ᨆ ㈩⟎㈩✢ วᚑ 䮎䭽䭫䮺䯂䭯䮺䮏䮱 㔚ജ⸃ᨆ

(13)

第 1 章 : デザイン・フロー グラフィカル・ユーザ・インタフェースの デザイン・フロー

グラフィカル・ユーザ・インタフェースの

デザイン・フロー

Quartus II 開発ソフトウェアは、デザイン・フローのすべての段階で使用すること ができる使いやすい完全なスタンドアロン・ソリューションです。図 2は、Quartus II グラフィカル・ユーザ・インタフェースがデザイン・フローの各段階で提供してい る機能を示しています。 図 2. Quartus II グラフィカル・ユーザ・インタフェースの機能 วᚑ 䧎䎃 䎤䏑䏄䏏䏜䏖䏌䏖䎃䎉䎃䎶䏜䏑䏗䏋䏈䏖䏌䏖 䧎䎃 䎹䎫䎧䎯䎏䎃䎹䏈䏕䏌䏏䏒䏊䎃䎫䎧䎯䎃䎉䎃䎤䎫䎧䎯 䧎䎃 䮎䭽䭫䮺䯂䭩䭾䮀䮆䮺䮏 䧎䎃 䎵䎷䎯䎃䎹䏌䏈䏚䏈䏕 䧎䎃 䎷䏈䏆䏋䏑䏒䏏䏒䏊䏜䎃䎰䏄䏓䎃䎹䏌䏈䏚䏈䏕 䧎䎃 䭫䮺䭶䮱䮨䮺䮆䮲䯂䭾䮺䮂䭾䮀 䮎䭽䭫䮺䯂䭯䮺䮏䮱 䧎䎃 䮍䭴䮀䮏䯂䭯䮎䭪䮆 䧎䎃 䮝䮴䮊䭶䬙䭗䭂䭾䮺䮣䮲䯂䭯䮎䭪䮆 䧎䎃 䎰䏈䏊䏄䎺䏌䏝䏄䏕䏇䎃䎳䏏䏘䏊䎐䎬䏑䎃䎰䏄䏑䏄䏊䏈䏕 䧎䎃 䭩䭼䭫䮺䮨䮺䮏䯂䭯䮎䭪䮆 䧎䎃 䮜䮴䭩䮞䮰䮺䯂䭯䮎䭪䮆 ㈩⟎㈩✢ 䧎䎃 䮜䭪䮊䮆 䧎䎃 䭩䭼䭫䮺䮨䮺䮏䯂䭯䮎䭪䮆 䧎䎃 䮜䮴䭩䮞䮰䮺䯂䭯䮎䭪䮆 䧎䎃 䎦䏋䏌䏓䎃䎨䏇䏌䏗䏒䏕 䧎䎃 䮳䮤䯃䮏⴫␜ 䧎䎃 䎵䏈䏖䏒䏘䏕䏆䏈䎃䎲䏓䏗䏌䏐䏌䏝䏄䏗䏌䏒䏑䎃䎤䏇䏙䏌䏖䏒䏕 䧎䎃 䮎䭽䭫䮺䯂䮀䮡䯃䮀䯂䭯䭶䮀䮞䮴䯃䮰 䮆䭫䮦䮺䭷⸃ᨆ 䧎䎃 䮆䭫䮦䮺䭷䯂䭩䮑䮰䭫䭽 䧎䎃 䮳䮤䯃䮏⴫␜ 䧎䎃 䎷䏈䏆䏋䏑䏒䏏䏒䏊䏜䎃䎰䏄䏓䎃䎹䏌䏈䏚䏈䏕 䮞䮴䭷䮰䮦䮺䭷 䧎䎃 䭩䮂䮺䮝䮰 䧎䎃 䎳䏕䏒䏊䏕䏄䏐䏐䏈䏕 䧎䎃 䮞䮴䭷䮰䮦䮺䭷䯂䮜䭨䭫䮲䬽ᄌ឵ 䭾䮦䮬䮳䯃䭾䮮䮺 䧎䎃 䭾䮦䮬䮳䯃䮆 䧎䎃 ᵄᒻ䭯䮎䭪䮆 䮎䮗䮊䭷 䧎䎃 䎶䏌䏊䏑䏄䏏䎷䏄䏓䎃䎬䎬 䧎䎃 䎶䏌䏊䏑䏄䏏䎳䏕䏒䏅䏈 䧎䎃 䎬䏑䎐䎶䏜䏖䏗䏈䏐䎃䎰䏈䏐䏒䏕䏜䎃䎦䏒䏑䏗䏈䏑䏗䎃䎨䏇䏌䏗䏒䏕 䧎䎃 䎵䎷䎯䎃䎹䏌䏈䏚䏈䏕 䧎䎃 䎷䏈䏆䏋䏑䏒䏏䏒䏊䏜䎃䎰䏄䏓䎃䎹䏌䏈䏚䏈䏕 䧎䎃 䎦䏋䏌䏓䎃䎨䏇䏌䏗䏒䏕 ⸳⸘▤ℂᄌᦝ䎃 䧎䎃 䎦䏋䏌䏓䎃䎨䏇䏌䏗䏒䏕 䧎䎃 䎵䏈䏖䏒䏘䏕䏆䏈䎃䎳䏕䏒䏓䏈䏕䏗䏜䎃䎨䏇䏌䏗䏒䏕 䧎䎃 䎦䏋䏄䏑䏊䏈䎃䎰䏄䏑䏄䏊䏈䏕 䮄䮜䮏䭭䭮䭩㐿⊒ 䧎䎃 䎶䏒䏉䏗䏚䏄䏕䏈䎃䎥䏘䏌䏏䏇䏈䏕 䭾䮀䮍䮧䯂䮳䮠䮲䯂䮎䭽䭫䮺 䧎䎃 䎶䎲䎳䎦䎃䎥䏘䏌䏏䏇䏈䏕 䧎䎃 䎧䎶䎳䎃䎥䏘䏌䏏䏇䏈䏕 䮝䮴䮊䭶䯂䮠䯃䮀䯂䮎䭽䭫䮺 䧎䎃 䎯䏒䏊䏌䏆䎯䏒䏆䏎䎃䎺䏌䏑䏇䏒䏚 䧎䎃 䮜䮴䭩䮞䮰䮺䯂䭯䮎䭪䮆 䧎䎃 䎹䎴䎰䎃䎺䏕䏌䏗䏈䏕 䎨䎧䎤䭫䮺䮆䮜䭮䯃䮀 䧎䎃 䎨䎧䎤䎃䎱䏈䏗䏏䏌䏖䏗䎃䎺䏕䏌䏗䏈䏕 䮆䭫䮦䮺䭷䯂䭶䮴䯃䭿䮪 䧎䎃 䮜䮴䭩䮞䮰䮺䯂䭯䮎䭪䮆 䧎䎃 䎯䏒䏊䏌䏆䎯䏒䏆䏎䎃䎺䏌䏑䏇䏒䏚 䧎䎃 䎷䏌䏐䏌䏑䏊䎃䎲䏓䏗䏌䏐䏌䏝䏄䏗䏌䏒䏑䎃䎤䏇䏙䏌䏖䏒䏕 䧎䎃 䮎䭽䭫䮺䯂䮀䮡䯃䮀䯂䭯䭶䮀䮞䮴䯃䮰 䎃 䎃 䎃 㔚ജ⸃ᨆ 䧎䎃 䎳䏒䏚䏈䏕䎳䏏䏄䏜䎃䎤䏑䏄䏏䏜䏝䏈䏕䎃䎷䏒䏒䏏 䧎䎃 䎳䏒䏚䏈䏕䎳䏏䏄䏜䎃䎨䏄䏕䏏䏜䎃䎳䏒䏚䏈䏕䎃䎨䏖䏗䏌䏐䏄䏗䏒䏕 䎃 䎃

(14)

第 1 章 : デザイン・フロー グラフィカル・ユーザ・インタフェースの デザイン・フロー 図 3は、初回起動時に (デフォルトで) 表示されるQuartus IIグラフィカル・ユーザ・ インタフェースを示しています。 図 3. Quartus II グラフィカル・ユーザ・インタフェース Quartus II 開発ソフトウェアには、モジュラー・コンパイラが含まれます。コンパ イラには次のモジュールが含まれます(アスタリスクの付いたモジュールはコンパ イル時にはオプションですが、これは設定に依存します)。

■ Analysis & Synthesis

■ フィッタ

■ アセンブラ

■ タイミング解析

■ デザイン・アシスタント*

■ EDA Netlist Writer*

■ コンパイル・データベース・インタフェース*

Start Compilation (Processingメニュー ) を選択して、フル・コンパイルの一部とし

て、すべてのコンパイル・モジュールを実行できます。また、Start (Processing メ

ニュー) を選択し、続けてStartサブメニューから起動するモジュールのコマンドを

選択すると、各モジュールを個別に実行できます。

さらに、Compiler Tool (Toolsメニュー ) を選択し、Compiler Toolウィンドウでモ

ジュールを実行してもコンパイル・モジュールを起動できます。Compiler Toolウィ

ンドウでは、設定ファイルまたはレポート・ファイルを開いたり、他の関連ウィン

(15)

第 1 章 : デザイン・フロー グラフィカル・ユーザ・インタフェースの デザイン・フロー 図 4. Compiler Tool ウィンドウ Quartus II開発ソフトウェアは、Processingメニューのコマンドを使用できる定義済 みコンパイル・フローも提供しています。表 1に、一般的なコンパイル・フローで のコマンドを示します。 モジュールの起動 モジュール設定ページを開く レポート・ファイルを開く 表 1. 一般的なコンパイル・フローでのコマンド フロー 説明 Quartus IIの Processing メニューのコマンド フル・コンパイル デザインのフル・コンパイルを実行します。 Start Compilationコマンド コンパイルおよび シミュレーション タイミング・シミュレーション・モードの場合、 フローはフル・コンパイルを実行し、現在のデザ インをシミュレーションします。機能シミュレー ション・モードの場合、フローはGenerate

Functional Simulation Netlistコマンドのみを実 行し、現在のデザインをシミュレーションします。 Start Compilation and Simulationコマンド SignalProbe™ コンパイル 既存のフィッティングに影響を与えずにユーザ 指定の信号を出力ピンに送るため、デザインに対 しフル・コンパイルを実行しなくても信号をデ バッグすることができます。

Start > Start SignalProbe Compilationコマンド Early Timing Estimate 部分的なコンパイルを実行した後停止して、

フィッタが完了する前にEarly Timing Estimateを 行います。

Start > Start Early Timing Estimateコマンド

(16)

第 1 章 : デザイン・フロー

グラフィカル・ユーザ・インタフェースの デザイン・フロー

Quartus II開発ソフトウェアのレイアウト、メニュー、コマンドおよびアイコンは、

好みに応じてカスタマイズできます。 Quartus II 開発ソフトウェアを初めて使用す

る時には、標準のQuartus IIユーザ・インタフェースまたはMAX+PLUS®IIルック&

フィールのどちらを使用するか選択することができます。または、Toolsメニューの

Customize ダイアログでルック&フィールを後から選択することも可能です。

MAX+PLUS IIユーザは、MAX+PLUS IIルック&フィールにより、使い慣れている MAX+PLUS IIのレイアウト、コマンドおよびアイコンでQuartus II開発ソフトウェ

アの機能を使用することができます。図 5に、Customizeダイアログを示します。

図 5. Customize ダイアログ

関連情報 参照先

コンパイル・フロー Quartus II ヘ ル プ の「Overview: Using Compilation Flows」

(17)

第 1 章 : デザイン・フロー グラフィカル・ユーザ・インタフェースの デザイン・フロー

Customizeダイアログでは、オプションのQuartus IIまたはMAX+PLUS IIのクイッ

ク・メニューの表示の選択ができ、さらに表示をメニュー・バーの右側または左側 にするかを選択できます。Quartus IIのクイック・メニューは、各Quartus IIアプリ ケーション用のメニュー・コマンドおよび通常使用されるコマンドを含んでいます。 MAX+PLUS IIソフトウェアに類似したMAX+PLUS IIのクイック・メニューは、ア プリケーション用のコマンドおよび一般的なMAX+PLUS IIのメニュー・コマンドを 提供しています。MAX+PLUS IIメニューのコマンドは、対応するQuartus IIのコマ

ンドと同様の機能を実行します。図 6に、Quartus IIとMAX+PLUS IIのクイック・

メニューを示します。

図 6. Quartus II および MAX+PLUS II のクイック・メニュー

MAX+PLUS IIクイック・ メニュー

(18)

第 1 章 : デザイン・フロー

グラフィカル・ユーザ・インタフェースの デザイン・フロー

Quatus IIグラフィカル・ユーザ・インタフェースを使用した基本的なデザイン・フ ローは次の通りです。

1. New Project Wizard (File メニュー ) を使用して新規プロジェクトを作成し、

ターゲットとなるデバイスまたはデバイス・ファミリを指定します。

2. テキスト・エディタを使用して、Verilog HDL、VHDLまたはアルテラ・ハー

ドウェア記述言語 (AHDL) デザイン・ファイルを作成します。必要に応じて、 ブロック・エディタを使用して他のデザイン・ファイルを表す記号を使用した ブ ロ ッ ク 図 を 作 成 し た り、図 表 を 作 成 で き ま す。 MegaWizard® Plug-In

Manager (Toolsメニュー ) を使用して、メガファンクションとIPファンクショ

ンのカスタム・バリエーションを生成できます。

3. (オプション) アサインメント・エディタ、Settingsダイアログ (Assignmentsメ

ニュー)、フロアプラン・エディタ、および/またはLogicLock™機能を使用し

て、初期デザイン制約を指定します。

4. (オプション) SOPC BuilderまたはDSP Builderを使用して、システム・レベル

のデザインを作成します。

5. (オプション) Software Builderを使用して、Excalibur™デバイス・プロセッサ

またはNios® IIエンベデッド・プロセッサのソフトウェアおよびプログラミン

グ・ファイルを作成します。

6. Analysis & Synthesisを使用して、デザインを合成します。

7. ( オプション ) シミュレータおよび Generate Functional Simulation Netlist コ マンドを使用して、デザインに対して機能シミュレーションを実行します。

関連情報 参照先

MAX+PLUS IIユーザ用のデザイン・フロー アルテラWebサイトのQuartus II Handbook、Volume 1 のChapter 2「Quartus II Design Flow for MAX+PLUS II Users」

Quartus IIチュートリアルの「MAX+PLUS II Conversion module」

ユーザ・インタフェースのカスタマイズ Quartus IIヘルプの「Overview: Working With the User Interface」および「Customizing the User Interface」 MAX+PLUS IIルック&フィール Quartus IIヘルプの「MAX+PLUS II Quick Start Guide for

the Quartus II Software」および「List of MAX+PLUS II Commands」

(19)

第 1 章 : デザイン・フロー EDAツールを用いた場合のデザイン・フロー

9. PowerPlay Power Analyzer を使用して、消費電力の見積りおよび解析を実行

します。 10. タイミング・アナライザを実行し、デザインに対してタイミング解析を行います。 11. シミュレータを使用し、デザインに対してタイミング・シミュレーションを実 行します。 12. (オプション) フィジカル・シンセシス、タイミング・クロージャ・フロアプラ ン、LogicLock、Settings ダイアログ、およびアサインメント・エディタを使 用してタイミングの向上を図り、タイミング・クロージャを実現します。 13. アセンブラを使用して、デザインのプログラミング・ファイルを作成します。 14. プログラミング・ファイル、Programmer、およびアルテラのハードウェアを 使用してデバイスをプログラムします。または、エンベデッド・プロセッサな ど他のシステムで使用できるように、プログラミング・ファイルを別のファイ ル形式に変換します。 15. (オプション) SignalTap® IIロジック・アナライザ、SignalProbe機能、または Chip Editorを使用して、デザインをデバッグします。

16. (オプション) Chip Editor、Resource Property Editor、Change Managerを使用 して、変更内容を管理できます。

EDA

ツールを用いた場合のデザイン・フロー

Quartus II 開発ソフトウェアでは、デザイン・フローの様々な段階で、使い慣れた EDAツールを使用できます。これらのツールは、Quartus IIグラフィカル・ユーザ・ インタフェース、またはQuartus II のコマンドライン入力と共に使用できます。図 7 に、EDAツールを用いた場合のデザイン・フローを示します。

(20)

第 1 章 : デザイン・フロー EDAツールを用いた場合のデザイン・フロー 図 7. EDA ツールのデザイン・フロー 表 2は、Quartus II開発ソフトウェアでサポートしているEDAツールと、NativeLink® のサポートの有無を示しています。NativeLinkテクノロジは、Quartus II開発ソフト ウェアと他のEDAツールの間でシームレスに情報転送ができ、Quartus II開発ソフト ウェア内からのEDAツールの自動実行を可能にします。 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬 䮆䭫䮦䮺䭷䯂䭩䮑䮰䭫䭽 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬䎃䮜䭪䮊䮆 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬 䎨䎧䎤䎃䎱䏈䏗䏏䏌䏖䏗䎃䎺䏕䏌䏗䏈䏕 䎹䏈䏕䏌䏏䏒䏊಴ജ䮜䭨䭫䮲䎃䎋䎑䏙䏒䎌䫺 䎹䎫䎧䎯಴ജ䮜䭨䭫䮲䎃䎋䎑䏙䏋䏒䎌䫺 䎹䎴䎰䮜䭨䭫䮲䫺䎶䎧䎩಴ജ䮜䭨䭫䮲䎃䎋䎑䏖䏇䏒䎌䫺 䮍䮀䮏䮠䮺䮈䯂䮜䭨䭫䮲䫺䭾䮺䮣䮲䯂䮜䭨䭫䮲䫺 䎷䏆䏏䮀䭶䮱䮞䮏䯂䮜䭨䭫䮲䎃䎋䎑䏗䏆䏏䎌䫺䎬䎥䎬䎶಴ജ 䮜䭨䭫䮲䎃䎋䎑䏌䏅䏖䎌䎃䭓䎃䎶䎷䎤䎰䎳䮩䮎䮲䯂䮜䭨䭫䮲䎃 䎋䎑䏇䏄䏗䏄䎃䭍䬮䬾䎃䎑䏐䏒䏇䎌䎃䭡฽䭏 䎨䎧䎤䮋䯃䮲ะ䬠಴ജ䮜䭨䭫䮲䎃 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬 䎤䏑䏄䏏䏜䏖䏌䏖䎃䎉䎃 䎶䏜䏑䏗䏋䏈䏖䏌䏖 䎨䎧䎤วᚑ䮋䯃䮲 䎨䎧䎤䮆䭫䮦䮺䭷⸃ᨆ 䮋䯃䮲 䎹䎫䎧䎯䮎䭽䭫䮺䯂䮜䭨䭫䮲䎃䎋䎑䏙䏋䏇䎌䎃䭓 䎹䏈䏕䏌䏏䏒䏊䮎䭽䭫䮺䯂䮜䭨䭫䮲䎃䎋䎑䏙䎌䎃䭡฽䭏䎃 䮄䯃䮀䯂䮎䭽䭫䮺䯂䮜䭨䭫䮲 䎨䎧䎬䎩䮔䮊䮏䮱䮀䮏䯂 䮜䭨䭫䮲䎃䎋䎑䏈䏇䏉䎌䎃䭍䬮䬾 䎹䎴䎰䮜䭨䭫䮲䎃䎋䎑䏙䏔䏐䎌 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬䎃 䭾䮦䮬䮳䯃䮆 䎨䎧䎤䭾䮦䮬䮳䯃䭾䮮䮺䯂 䮋䯃䮲 䎨䎧䎤䮜䭪䭿䭲䮲䯂 䭾䮺䮂䭾䮀䯂䮋䯃䮲 䎨䎧䎤䮣䯃䮐䯂䮳䮠䮲 ᬌ⸽䮋䯃䮲 䎨䎧䎤䮜䭰䯃䮥䮲ᬌ⸽ 䮋䯃䮲 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬 䭩䮂䮺䮝䮰 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬 䎳䏕䏒䏊䏕䏄䏐䏐䏈䏕

(21)

第 1 章 : デザイン・フロー EDAツールを用いた場合のデザイン・フロー 表 2. Quartus II 開発ソフトウェアがサポートする EDA ツール 内容 サポートされているEDAツール NativeLink サポート デザイン・エントリおよび 論理合成

Mentor Graphics社 Design Architect

Mentor Graphics社 LeonardoSpectrum ✔ Mentor Graphics社 Precision RTL Synthesis ✔ Mentor Graphics社 ViewDraw

Synopsys社 Design Compiler Synopsys社 Design Compiler FPGA

Synopsys社 FPGA Compiler II ✔ Synplicity社 Synplify ✔ Synplicity社 Synplify Pro ✔ シミュレーション Cadence社 NC-Verilog ✔ Cadence社 NC-VHDL ✔ Cadence社 Verilog-XL

Mentor Graphics®社 ModelSim® ✔

Mentor Graphics社 ModelSim-Altera ✔ Synopsys社 VCS MX

Synopsys社 VCS Synopsys社 VSS

タイミング解析 Mentor Graphics社 Tau (Stamp全般)

Synopsys社 PrimeTime ✔ ボード・レベル検証 Hyperlynx (Signal Integrity IBIS全般)

XTK (Signal Integrity IBIS全般) ICX (Signal Integrity IBIS全般)

SpectraQuest (Signal Integrity IBIS全般)

Mentor Graphics社 Symbol Generation (Viewdraw) フォーマル検証 Cadence社 Incisive Conformal

(22)

第 1 章 : デザイン・フロー

EDAツールを用いた場合のデザイン・フロー

Settings ダイアログ (Assignments メニュー ) の EDA Tool Settings ページで、

Quartus II開発ソフトウェアで使用するEDAツールを指定できます。図 8を参照し

てください。

図 8. Settings ダイアログの EDA Tool Settings ページ

EDA Tool Settingsの個々のページでは、EDAツール・タイプごとに追加のオプショ

ンを設定できます。

Quartus II 開発ソフトウェアと共に他の EDA ツールを使用した基本的なデザイン・

フローは次の通りです。サポートされているEDA ツールについては、11 ページの

(23)

第 1 章 : デザイン・フロー EDAツールを用いた場合のデザイン・フロー

2. テキスト・エディタを使用して、Verilog HDLまたはVHDLデザイン・ファイ

ルを作成します。必要であればライブラリからファンクションをインスタンス するか、MegaWizard Plug-In Manager (Toolsメニュー ) を使用して、IPメガ ファンクションをカスタマイズして組み込みます。 3. Quartus II がサポートする EDA 合成ツールのいずれかを使用してデザインを 合成し、EDIFネットリスト・ファイル (.edf) またはVQMファイル (.vqm) を 生成します。 4. (オプション) Quartus IIがサポートするシミュレーション・ツールのいずれか を使用して、デザインに対して機能シミュレーションを実行します。

5. Quartus IIのSettingsダイアログ (Assignmentsメニュー )では、Quartus II開発 ソフトウェアと共に使用するデザイン・エントリ、シンセシス、シミュレー ション、タイミング解析、ボード・レベル検証、フォーマル検証、およびフィ ジカル・シンセシスのツールを指定し、これらのツール用の追加のオプション を指定します。 6. Quartus II開発ソフトウェアを使用してデザインをコンパイルし、配置配線を 実行します。フル・コンパイルを実行するか、コンパイル・モジュールを個別 に実行できます。

a. Analysis & Synthesis を実行し、デザインを処理して、デザインの機能を 適切なライブラリ・モジュールにマップします。

b. フィッタを実行し、デザインを配置配線します。

c. タイミング・アナライザを実行し、デザインに対してタイミング解析を

行います。

d. EDA Netlist Writer を実行し、他の EDA ツールで使用できるように出力

ファイルを生成します。 e. アセンブラを実行し、デザインのプログラミング・ファイルを作成します。 7. (オプション) Quartus IIがサポートするEDAタイミング解析ツールのいずれか を使用して、デザインに対してタイミング解析を実行します。 8. (オプション) Quartus IIがサポートするEDAシミュレーション・ツールのいずれ かを使用して、デザインに対してタイミング・シミュレーションを実行します。 9. (オプション) Quartus IIがサポートするEDAボード・レベル検証ツールのいず れかを使用して、ボード・レベルの検証を実行します。

(24)

第 1 章 : デザイン・フロー EDAツールを用いた場合のデザイン・フロー 11. (オプション) Quartus IIがサポートするEDAフィジカル・シンセシス・ツール のいずれかを使用して、フィジカル・シンセシスを実行します。 プログラミング・ファイル、Programmer、およびアルテラのハードウェアを使用 してデバイスをプログラムします。または、エンベデッド・プロセッサなど他のシ ステムで使用できるように、プログラミング・ファイルを別のファイル形式に変換 します。 関連情報 参照先

Synplicity社 Synplify および Synplify Pro ソフト ウェアとQuartus II開発ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 1 の Chapter 8「Synplicity Synplify and Synplify Pro Support」

Mentor Graphics 社 LeonardoSpectrum ソフト ウェアとQuartus II開発ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 1の Chapter 9「Mentor Graphics LeonardoSpectrum Support」

Mentor Graphics社 Precision RTL Synthesisソフ トウェアとQuartus II開発ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 1 の Chapter 10「Mentor Graphics Precision RTL Synthesis Support」

Synopsys社 FPGA Compiler II開発ソフトウェア とQuartus II開発ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 1のChapter 11「Synopsys FPGA Compiler II BLIS and the Quartus II LogicLock Design Flow」

Synopsis社 DC FPGAソフトウェアとQuartus II 開発ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 1 の Chapter 12「Synopsys Design Compiler FPGA Support」

Synplicity社 Amplify Physical Synthesis ソフト ウェアとQuartus II開発ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 2のChapter 11「Synplicity Amplify Physical Synthesis Support」

Mentor Graphics 社 ModelSim ソフトウェアと Quartus II開発ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 3のChapter 1「Mentor Graphics ModelSim Support」 Synopsys社 VCSソフトウェアとQuartus II開発

ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 3のChapter 2「Synopsys VCS Support」

Cadence社 NC-SimソフトウェアとQuartus II開 発ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 3のChapter 3「Cadence NC-Sim Support」 Synopsys社 PrimeTime ソフトウェアと Quartus

II開発ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 3のChapter 6「Synopsys PrimeTime Support」 Cadence社 IncisiveソフトウェアとQuartus II開

発ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 3のChapter 13「Cadence Incisive Conformal Support」 Synopsys社 FormalityソフトウェアとQuartus II

開発ソフトウェアの使い方

アルテラWebサイトのQuartus II Handbook、Volume 3のChapter 14「Synopsys Formality Support」

(25)

第 1 章 : デザイン・フロー コマンドライン・デザイン・フロー

コマンドライン・デザイン・フロー

Quartus II 開発ソフトウェアでは、完全なコマンドライン・インタフェース・ソ リューションを提供しています。このソリューションは、デザイン・フローの各段 階でコマンド入力およびオプションの実行を可能にします。コマンドライン・フロー を使用すると、メモリの使用を低減できるだけでなく、Tclコマンドを含むスクリプ トや標準的なコマンドライン・オプションやコマンドを使ってQuartus II 開発ソフ トウェアを制御したり、makefile を作成することができます。図 9に、コマンドラ イン・デザイン・フローを示します。 図 9. コマンドライン・デザイン・フロー 䎳䏕䏒䏊䏕䏄䏐䏐䏈䏕 䮆䭫䮦䮺䭷䯂䭩䮑䮰䭫䭽 䏔䏘䏄䏕䏗䏘䏖䏂䏖䏌䏐 䎤䏑䏄䏏䏜䏖䏌䏖䎃䎉 䎶䏜䏑䏗䏋䏈䏖䏌䏖 䏔䏘䏄䏕䏗䏘䏖䏂䏐䏄䏓 䮎䭽䭫䮺䯂䭩䭾䮀䮆䮺䮏 䏔䏘䏄䏕䏗䏘䏖䏂䏇䏕䏆 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬䎃䎶䏋䏈䏏䏏 䏔䏘䏄䏕䏗䏘䏖䏂䏖䏋 䮞䮴䭷䮰䮦䮺䭷䯂 䮜䭨䭫䮲䬽ᄌ឵ 䎨䎧䎤䎃䎱䏈䏗䏏䏌䏖䏗䎃䎺䏕䏌䏗䏈䏕 䏔䏘䏄䏕䏗䏘䏖䏂䏈䏇䏄 䭺䮺䮘䭫䮰䯂䮎䯃䮆䮠䯃䮀 䏔䏘䏄䏕䏗䏘䏖䏂䏆䏇䏅 䭾䮦䮬䮳䯃䮆 䏔䏘䏄䏕䏗䏘䏖䏂䏖䏌䏐 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬䎃䎶䏋䏈䏏䏏䬾䫺 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬ታⴕ䭺䮥䮺䮐䬺ኻ䬦䬵 䎷䏆䏏䭫䮺䮆䮞䮱䮆䬷䬦䬵૶↪ 䎹䏈䏕䏌䏏䏒䏊䮎䭽䭫䮺䯂䮜䭨䭫䮲䎃䎋䎑䏙䎌䫺䎹䎫䎧䎯䮎䭽䭫䮺䯂䮜䭨䭫䮲䎃䎋䎑䏙䏋䏇䎌䫺 䎹䎴䎰䮜䭨䭫䮲䎃䎋䎑䏙䏔䏐䎌䫺䎷䎧䎩䮜䭨䭫䮲䎃䎋䎑䏗䏇䏉䎌䫺䎥䎧䎩䮜䭨䭫䮲䎃䎋䎑䏅䏇䏉䎌䎃䭓䎃 䎨䎧䎬䎩䮔䮊䮏䮱䮀䮏䯂䮜䭨䭫䮲䎃䎋䎑䏈䏇䏉䎌䎃䭡฽䭏䮄䯃䮀䯂䮎䭽䭫䮺䯂䮜䭨䭫䮲 䎹䏈䏕䏌䏏䏒䏊಴ജ䮜䭨䭫䮲䎃䎋䎑䏙䏒䎌䫺 䎹䎫䎧䎯಴ജ䮜䭨䭫䮲䎃䎋䎑䏙䏋䏒䎌䫺 䎹䎴䎰䮜䭨䭫䮲䭓 䎶䏌䏊䏑䏄䏏䎷䏄䏓䎃䎬䎬 䮴䭿䮊䭶䯂䭩䮑䮰䭫䭽 䎶䏒䏉䏗䏚䏄䏕䏈䎃䎥䏘䏌䏏䏇䏈䏕 䏔䏘䏄䏕䏗䏘䏖䏂䏖䏚䏅 䎳䏒䏚䏈䏕䎳䏏䏄䏜 䎳䏒䏚䏈䏕䎃䎤䏑䏄䏏䏜䏝䏈䏕 䏔䏘䏄䏕䏗䏘䏖䏂䏓䏒䏚 䮜䭪䮊䮆 䏔䏘䏄䏕䏗䏘䏖䏂䏉䏌䏗 䭩䮂䮺䮝䮰 䏔䏘䏄䏕䏗䏘䏖䏂䏄䏖䏐

(26)

第 1 章 : デザイン・フロー コマンドライン・デザイン・フロー

実行コマンド

Quartus II 開発ソフトウェアは、デザイン・フローの各段階でそれぞれ実行コマン ドを用意しています。実行コマンドは実行されている間だけメモリを占有します。 これらの実行コマンドは、標準的なコマンドライン用コマンド、スクリプトまたは Tclスクリプトと一緒に使用したり、makefileスクリプトに含んで使用することがで きます。利用可能なすべての実行コマンドのリストは、表 3を参照してください。 [

スタンドアロン・グラフィカル・ユーザ・インタフェース実行コマンド

Quartus II開発ソフトウェアは、スタンドアロン・グラフィカル・ユーザ・インタフェース (GUI) 実行コ マンドを提供しています。qmegawiz 実行コマンドには、スタンドアロン GUI の MegaWizard Plug-In Manager のバージョン、quartus_pgmw 実行コマンドには、プログラマ用のスタンドアロン GUI、 quartus_stpw実行コマンドには、SignalTap II ロジック・アナライザ用のスタンドアロン GUI が用意さ れています。

表 3. 実行コマンド ( 1 / 2 )

コマンド名称 コマンド機能 内容

quartus_map Analysis & Synthesis プロジェクトがまだ作成されていない場合は作成し、プロ ジェクト・データベースを作成してデザインを合成し、プ ロジェクトのデザイン・ファイルに対してテクノロジ・マッ ピングを実行します。

quartus_fit フィッタ デザインの配置配線を行います。フィッタの実行前に、 Analysis & Synthesisを実行しておく必要があります。

quartus_drc デザイン・ アシスタント 一連のデザイン・ルールに基づき、デザインの信頼性を チェックします。デザイン・アシスタントは、HardCopyデ バイスに変換する前のデザインの信頼性チェックに特に役 立ちます。デザイン・アシスタントの実行前に、Analysis & Synthesis またはフィッタのいずれかを実行しておく必要 があります。 quartus_tan タイミング解析 実装した回路の速度性能を解析します。タイミング解析の 実行前に、フィッタを実行しておく必要があります。 quartus_asm アセンブラ ターゲット・デバイスのプログラミングまたはコンフィ ギュレーション用のプログラミング・ファイルを1つまたは 複数作成します。アセンブラの実行前に、フィッタを実行 しておく必要があります。

quartus_eda EDA Netlist Writer 他のEDAツールで使用できるネットリスト・ファイルおよび 他の出力ファイルを生成します。EDA Netlist Writerの実行前

(27)

第 1 章 : デザイン・フロー コマンドライン・デザイン・フロー quartus_cdb コンパイル・ データベース・ インタフェース (VQM Writerを含む) Quartus IIコンパイル・データベース用のVQMファイルを含 めた内部用ネットリスト・ファイルを生成し、バック・ア ノテーションおよびLogicLock機能に使ったり、後で行うコ ンパイルのフィットを保持するために、バック・アノテー ションされたデバイスおよびリソース・アサインメントに 使用できるようにします。また、バージョン互換のデータ ベースをインポートおよびエクスポートします。コンパイ ル・データベース・インタフェースの実行前に、フィッタ またはAnalysis & Synthesisのいずれかを実行しておく必要 があります。

quartus_sim シミュレータ デザインに対し機能シミュレーションまたはタイミング・シ ミュレーションを実行します。機能シミュレーションの実行 前に Analysis & Synthesis を、タイミング・シミュレーショ ンの前にタイミング解析を実行しておく必要があります。

quartus_pow 電力解析 デザインのトータルなダイナミックおよびスタティック消 費電力の解析および見積りを行います。 出力信号に対し、ト グル・レートやスタティックな確率を計算します。 PowerPlay Power Analyzerの実行前に、フィッタを実行し ておく必要があります。 quartus_pgm Programmer アルテラのデバイスをプログラムします。 quartus_cpf プログラミング・ ファイルの変換 プログラミング・ファイルを二次プログラミング・ファイ ル形式に変換します。

quartus_stp SignalTap IIロジック・ アナライザ

SignalTap IIファイル (.stp) を設定します。アセンブラの後 に実行すると、SignalTap IIロジック・アナライザは、デバ イスが高速で動作中でも内部デバイス・ノードから信号を 取り込みます。

quartus_swb Software Builder Excaliburエンベデッド・プロセッサのデザインに必要なソ フトウェアやプログラミング・ファイルを作成します。

quartus_sh Tclシェル Quartus II開発ソフトウェアのTclスクリプト・シェルを提供 します。

表 3. 実行コマンド ( 2 / 2 )

(28)

第 1 章 : デザイン・フロー コマンドライン・デザイン・フロー

各実行コマンドは個別に実行できますが、次のコマンドを使用してコンパイルに関 するすべての実行コマンドを同時に実行することも可能です。

quartus_sh --flow compile < プロジェクト名 > [-c < リビジョン名 >]  このコマンドにより、quartus_map、quartus_fit、quartus_asm、およびquartus_tan 実行コマンドが、フル・コンパイルの一部として実行されます。設定によっては、 オプションのquartus_drc、quartus_eda、および quartus_cdb 実行コマンドが実行 される場合もあります。 一部の実行コマンドは、テキスト・ベースのレポート・ファイルを個別に作成し、 任意のテキスト・エディタを使用して表示することが可能です。各レポート・ファ イルの名前には、次の形式が使用されます。 <リビジョン名>.<実行コマンド名の短縮形>.rpt

Quartus II実行コマンドのヘルプの参照 各 Quartus II 実行コマンドで利用できるコマンドライン・オプションのヘルプを参照するには、コマン ド・プロンプトで次のコマンドのいずれかを入力します。 <実行コマンド名> -h  <実行コマンド名> --help  <実行コマンド名> --help=<トピックまたはオプション名> また、Quartus II 実行コマンドおよび Tcl API ヘルプ・ブラウザを使用してヘルプを参照できます。この ブラウザは、コマンドラインおよびTcl APIヘルプを参照できるTclおよびTkベースのGUIです。このヘル プを使用するには、コマンド・プロンプトで次のコマンドを入力します。 quartus_sh --qhelp 

quartus_cmd実行コマンド Quartus II開発ソフトウェアの以前のバージョンで、quartus_cmd 実行コマンドを使用してプロジェク トのコンパイルを実行した場合、この実行コマンドは引き続き後方互換性をサポートしていますが、ア ルテラではquartus_cmd実行コマンドを使用するのではなく、16ページの表 3にある実行コマンドをす べての新規デザインに使用することを推奨します。デザインをコンパイルするためにquartus_cmd実行 コマンドを使用していた場合、以下のオプションでquartus_sh実行コマンドを使用して、同じ機能を得 ることができます。

(29)

第 1 章 : デザイン・フロー コマンドライン・デザイン・フロー

例えば、chiptrip プロジェクトで quartus_map 実行コマンドを実行する場合、コマ

ンド・プロンプトで次のコマンドを入力します。 quartus_map chiptrip 

quartus_map実行コマンドはAnalysis & Compileを実行し、chiptrip.map.rptという 名前のレポート・ファイルを作成します。

Quartus II開発ソフトウェアは、Quartus II実行コマンドを使用した定義済みコンパイ

ル・フローを提供します。quartus_sh --flowコマンドまたはTcl execute_flow

コマンドが設定されているこれらのコマンドを使用することができます。表 4に、標

準的なコンパイル・フローを示します。

Quartus II設計ファイルと Quartus II 実行コマンドの使い方

Quartus II実行コマンドを使用している場合、Quartus II開発ソフトウェアはデフォルトでプロジェクト 名と同じ名前のリビジョンを使用します。プロジェクト名と異なる名前のリビジョンを使用するには、 Quartus II設定ファイル (.qsf)に対応したリビジョンの名前を指定する-cオプションを使用できます。例 えば、speed_ch.qsfファイルに対応するspeed_chという名前のリビジョンを持つchiptripプロジェク トでquartus_map実行コマンドを実行する場合、コマンド・プロンプトで次のコマンドを入力します。

quartus_map chiptrip -c speed_ch 

quartus_map 実行コマンドは、リビジョンおよび設定を使用して Analysis & Synthesis を実行し、 speed_ch.map.rptという名前のレポート・ファイルを作成します。 表 4. コマンドライン・コンパイル・フロー ( 1 / 2 ) フロー 説明 quartus_sh --flowまたは execute_flowのコマンドラインの オプション フル・コンパイル デザインのフル・コンパイルを実行します。 compile コンパイルおよび シミュレーション タイミング・シミュレーション・モード の場合、フル・コンパイルを実行し、現 在のデザインをシミュレーションしま す。ファンクショナル・シミュレーショ ン・モードの場合、機能シミュレーショ ン用ネットリストを生成し、現在のデザ インをシミュレーションします。 compile_and_simulate

(30)

第 1 章 : デザイン・フロー コマンドライン・デザイン・フロー

標準コマンドライン用コマンドおよびスクリプト

Quartus II実行コマンドは、Perlスクリプト、バッチ・ファイル、およびTclスクリ プトといった任意のコマンドライン・スクリプト手法で使用できます。これらのス クリプトは、新規プロジェクトの作成または既存のプロジェクトのコンパイルに合 わせてデザインできます。また、コマンド・プロンプトまたはコンソールから実行 コマンドを実行できます。 Attempt Similar Placement 現在のコンパイルにおけるネットリスト と配置を前回の情報と比較した上で、前 回のコンパイルに対してフル・コンパイ ルを実行します。 フィッタは、現在のコン パイルに対し、前回のコンパイルからで きるだけ多くのノードを配置できるよう にコンパイル内容を比較します。 attempt_similar_placement SignalProbe コンパイル 既存のフィッティングに影響を与えずに ユーザ指定の信号を出力ピンに送るた め、デザインに対しフル・コンパイルを 実行しなくても信号をデバッグすること ができます。 signalprobe 表 4. コマンドライン・コンパイル・フロー ( 2 / 2 ) フロー 説明 quartus_sh --flowまたは execute_flowのコマンドラインの オプション 関連情報 参照先

コンパイル・フロー Quartus II ヘ ル プ の「Overview: Using Compilation Flows」

(31)

第 1 章 : デザイン・フロー コマンドライン・デザイン・フロー

図 10は、標準コマンドライン・スクリプトの例を示しています。この例では、Quartus

II開発ソフトウェアに含まれるfiltrefチュートリアル・デザイン用の、プロジェクト の作成方法、Analysis & Synthesisの実行方法、配置配線の実行方法、タイミング解 析の実行方法、およびプログラミング・ファイルの生成方法を説明しています。 チュートリアル・デザインをインストールした場合、 /altera/qdesigns<version number>/tutorial ディレクトリにあります。アルテラでは、デザイン・フロー例をコ ンパイルするために、新規ディレクトリを作成し、すべてのデザイン・ファイル (*.v、 *.bsf、*.bdf) を /altera/qdesigns<version number>/tutorial ディレクトリから、新規 作成したディレクトリにコピーすることを推奨します。新規プロジェクト・ディレ クトリでは、図 10にある4つのコマンドを実行するか、バッチ・ファイルまたはシェ ル・スクリプトに保存することもできます。 これらの例では、/<Quartus IIシステム・

ディレクトリ >/bin ディレクトリ (UNIX または Linux ワークステーションでは /

<Quartus IIシステム・ディレクトリ>/<プラットフォーム> ディレクトリ、ここで <プラットフォーム>にはsolaris、linux、hp_IIのいずれかが入ります) がPATH環境 変数に含まれていると仮定しています。 図 10. コマンドライン・スクリプトの例 図 11は、サンプル・コマンドライン・スクリプトをUNIXワークステーションで使 用した場合のシェル・スクリプトの抜粋です。スクリプトでは、現在のディレクト

リにfir_filterという名前のQuartus IIチュートリアル・プロジェクトが存在すると仮

定しています。スクリプトではfir_filterプロジェクトの各デザイン・ファイルを解

析し、構文エラーのあるファイルをレポートします。

quartus_map filtref --family=Stratix

quartus_fit filtref --part=EP1S10F780C5 --fmax=80MHz --tsu=8ns

quartus_tan filtref quartus_asm filtref Stratixデバイス・ ファミリをターゲッ トとする新規 Quartus IIプロジェ クトを作成 EP1S10F780C5 デバイスのフィッ ティングを実行し、 グローバル・タイ ミング要求を指定 タイミング解析を 実行 プログラミング・ ファイルを生成

(32)

第 1 章 : デザイン・フロー コマンドライン・デザイン・フロー 図 11. UNIX コマンドライン・シェル・スクリプトの例 #!/bin/sh FILES_WITH_ERRORS="" for filename in `ls *.bdf *.v` do

quartus_map fir_filter --analyze_file=$filename if [ $? -ne 0 ] then FILES_WITH_ERRORS="$FILES_WITH_ERRORS $filename" fi done if [ -z "$FILES_WITH_ERRORS" ] then

echo "All files passed the syntax check" exit 0

else

echo "There were syntax errors in the following file(s)" echo $FILES_WITH_ERRORS

exit 1 fi

関連情報 参照先

コマンドライン・スクリプト アルテラWebサイトのQuartus II Handbook、Volume 2のChapter 2「Command-Line Scripting」

アルテラWebサイトのQuartus II Scripting Reference

参照

関連したドキュメント

(4) 現地参加者からの質問は、従来通り講演会場内設置のマイクを使用した音声による質問となり ます。WEB 参加者からの質問は、Zoom

必要な情報をすぐ探せない ▶ 部品単位でのリンク参照が冊子横断で可能 二次利用、活用に制約がある ▶

The exporting Party shall ensure that the exporter to whom a Certificate of Origin for a product has been issued, or the producer referred to in subparagraph 5(b), notifies in

【原因】 自装置の手動鍵送信用 IPsec 情報のセキュリティプロトコルと相手装置の手動鍵受信用 IPsec

Altera Nios II フォルダを展開し、Existing Nios II software build tools project or folder into workspace を選択します(図 2–9 を参 照)。.

2 号機の RCIC の直流電源喪失時の挙動に関する課題、 2 号機-1 及び 2 号機-2 について検討を実施した。 (添付資料 2-4 参照). その結果、

用できます (Figure 2 および 60 参照 ) 。この回路は優れ た効率を示します (Figure 58 および 59 参照 ) 。そのよ うなアプリケーションの代表例として、 Vbulk

専用区画の有無 平面図、写真など 情報通信機器専用の有無 写真など.