• 検索結果がありません。

シンボル・エディタ

ドキュメント内 Intoroduction to Quartus IIマニュアル Ver. 4.2 (ページ 54-59)

シンボル・エディタにより、マクロファンクション、メガファンクション、プリミ ティブ、またはデザイン・ファイルを示すあらかじめ定義された記号を表示し、編 集することができます。各シンボル・エディタ・ファイルは、1 つの記号を表しま す。各シンボル・ファイルは、アルテラのメガファンクションやLPMファンクショ ンを含むライブラリから選択できます。これらのブロック・シンボル・ファイルを カスタマイズして、シンボルをブロック・エディタで作成した図に追加することが できます。シンボル・エディタは、ブロック・シンボル・ファイルおよびMAX+PLUS

IIシンボル・ファイル (.sym) を読み込んで編集し、両方のタイプのファイルをブロッ

ク・シンボル・ファイルとして保存します。

Verilog HDL、VHDL および AHDL

Quartus II テキスト・エディタまたは他のテキスト・エディタを使用して、テキス

ト・デザイン・ファイル、Verilogデザイン・ファイル、およびVHDLデザイン・

ファイルを作成し、階層構造デザインにある他のタイプのデザイン・ファイルと結 合することができます。

Verilogデザイン・ファイルおよびVHDLデザイン・ファイルには、Quartus IIのサ ポートする構造体の様々な組み合わせを含むことができます。また、プリミティブ およびメガファンクションなどのアルテラの論理ファンクションや、ユーザ定義の 論理ファンクションも含むことができます。

テキスト・エディタで、Create/Updateコマンド (Fileメニュー) を使用して、ブロッ ク・シンボル・ファイルを現在のVerilog HDLまたはVHDLデザイン・ファイルか ら作成し、ブロック・デザイン・ファイルに組み込むことができます。同様に、

Verilog HDLまたはVHDLデザイン・ファイルを表すAHDLインクルード・ファイ ルを作成し、テキスト・デザイン・ファイルまたは他のVerilog HDLまたはVHDL デザイン・ファイルに組み込むことができます。

VHDLデザインでは、Settingsダイアログ (Assignmentsメニュー) のFilesページの PropertiesダイアログまたはProject NavigatorのFilesタブでファイル名を選択後、

右クリックして表示されるPropertiesメニューで開くダイアログにおいて、デザイ ンに対するVHDLライブラリの名前を指定することができます。

Quartus II開発ソフトウェアでのVerilog HDLおよびVHDLの使用について詳しく は、 「第4章: 論理合成」、65ページの「Quartus IIのVerilog HDLおよび VHDL論理 合成機能」を参照してください。

第 2 章: デザイン・エントリ アルテラのメガファンクション

AHDLは、ハイレベルのハードウェア記述言語で、Quartus IIシステムに完全に統 合されています。AHDLは、論理式、ステート・マシン、条件式およびデコード・

ロジックをサポートしています。AHDLはまた、パラメータ化ファンクションの作 成やその使用、LPMファンクションのフル・サポートを含んでいます。AHDLは、

特に複雑な組み合わせロジック、グループ演算、ステート・マシン、真理値表、お よびパラメータ化ロジックに適しています。

アルテラのメガファンクション

アルテラのメガファンクションは、ゲートおよびフリップフロップ・プリミティブ

と共にQuartus IIデザイン・ファイルで使用可能な、複雑かつ高レベルなビルディ

ング・ブロックです。アルテラが提供するパラメータ化が可能なメガファンクショ ンおよびLPMファンクションは、アルテラのデバイス・アーキテクチャ用に最適化 されています。メモリ、DSPブロック、LVDSドライバ、PLL、およびSERDES回路 とDDIO回路という一部のアルテラ・デバイスに特有の機能にアクセスするには、メ ガファンクションを使用する必要があります。

MegaWizard Plug-In Manager (Toolsメニュー) を使用して、Quartus II開発ソフト ウェア、EDAデザイン・エントリおよび合成ツールでデザインに使用するためのア ルテラ・メガファンクション、PMファンクション、およびIPファンクションを作成 できます。表 3に、アルテラが提供するMegaWizard Plug-In Managerを使用して 作成可能なメガファンクションおよびLPMファンクションを示します。

関連情報 参照先

Quartus IIブロック・エディタおよびシンボル・

エディタ

Quartus II ヘ ル プ の「Block Editor & Symbol Editor Introduction」

Quartus IIテキスト・エディタ Quartus IIヘルプの「Text Editor Introduction」

Quartus II開発ソフトウェアでのデザインの作成 Quartus IIチュートリアルの「Design Entry module」

表 3. アルテラが提供するメガファンクションおよびLPMファンクション (1/2)

ファイル・タイプ 説明

演算コンポーネント 累算器、加算器、乗算器、およびLPM演算ファンクションを含みます。

ゲート マルチプレクサおよびLPMゲート・ファンクションを含みます。

第 2 章: デザイン・エントリ アルテラのメガファンクション

デザインの作業時間を有効活用するために、アルテラでは独自のロジック・コード 作成の代わりにメガファンクションを使用することを推奨します。さらに、これら のファンクションは、より効果的なロジックの合成およびデバイスの実装を提供し ます。単純にパラメータを設定して、簡単に様々なサイズにメガファンクションを スケーリングすることができます。また、アルテラは、アルテラが提供するメガファ ンクションとLPMファンクションの両方に、AHDLインクルード・ファイルおよび VHDLコンポーネント宣言ファイルを用意しています。

I/Oコンポーネント クロック・データ・リカバリ (CDR)、フェーズ・ロック・ループ (PLL)、ダ

ブル・データ・レート (DDR)、ギガビット・トランシーバ・ブロック (GXB)、

LVDSレシーバおよびトランスミッタ、PLLリコンフィギュレーション、およ びリモート・アップデート・メガファンクションを含みます。

メモリ・コンパイラ FIFO Partitioner、RAM、およびROMメガファンクションを含みます。

ストレージ・コンポーネント メモリおよびシフト・レジスタ・メガファンクション、およびLPMメモリ・

ファンクションを含みます。

表 3. アルテラが提供するメガファンクションおよびLPMファンクション (2/2)

ファイル・タイプ 説明

関連情報 参照先

MegaWizard Plug-In Manager Quartus IIヘルプの「Overview: Using the MegaWizard Plug-In Manager」

Quartus IIチュートリアルの「Design Entry module」

第 2 章: デザイン・エントリ アルテラのメガファンクション

IP(Intellectual Property)メガファンクション

アルテラは、厳密なテストが実施され、アルテラ・デバイス特有のアーキテクチャ で最高のパフォーマンスを発揮するように最適化されたアルテラ・メガファンク ション・パートナ・プログラム (AMPP) およびMegaCore®ファンクションの両方 を取得するための様々な手法を提供しています。これらのIPのパラメータ化された ブロックを使用して、デザインとテストにかかる時間を削減できます。MegaCore およびAMPPメガファンクションは、エンベデッド・プロセッサ、インタフェース およびペリフェラル、デジタル信号処理 (DSP)、および通信アプリケーションのた めのメガファンクションを含みます。

アルテラは、Quartus II開発ソフトウェアおよびEDAデザイン・エントリ・ツール でIPファンクションを使用するのに便利な以下のプログラム、機能、およびファン クションを提供しています。

AMPPプログラム: AMPPプログラムは、Quartus II開発ソフトウェアと共に使

用するメガファンクションを作成、配信できるサードパーティ・ベンダへのサ ポートを提供します。AMPPパートナにより、アルテラ・デバイス用に最適化 された既成のメガファンクションが多数用意され、選択できるようになってい ます。

AMPP ファンクションの評価期間は、個々のベンダによって異なります。

AMPPファンクションのダウンロードと評価は、アルテラWebサイト www.altera.co.jp/ipmegastoreにあるIP MegaStoreから利用できます。x

MegaCoreファンクション: MefgaCoreファンクションは、複雑なシステムレ

ベル・ファンクション用にデザインされ、最適化および検証済みのファンク ションで、MegaWizard Plug-In Manager やIPツールベンチを使用して完全に パラメータ化が可能です。IP Toolbenchは、容易に資料を参照したり、パラ メータを指定したり、その他のEDAツールの設定や、パラメータ化された MegaCoreファンクションをデザインに統合するために必要なすべてのファ イルを生成することができるツール・バーです。

Quartus II 開発ソフトウェアのインストール中またはインストール後に、

MegaCore IPライブラリCD-ROMからMegaCoreファンクションをインストー

ルすることができます。また、アルテラWebサイトのIP MegaStoreから個々

にIP MegaCoreファンクションをダウンロードして、個別にインストールでき

ます。さらに、MegaWizard Portal Extension を介して MegaWizard Plug-In

ManagerからMegaCoreファンクションにアクセスすることも可能です。

OpenCore評価機能: OpenCore® 評価機能により、AMPPファンクションを購 入前に評価することができます。OpenCore機能を使用して、デザインをコン パイル、シミュレート、およびデザインの機能性とパフォーマンスを検証でき

第 2 章: デザイン・エントリ アルテラのメガファンクション

OpenCore Plusハードウェア評価機能: 無償のOpenCore Plusハードウェア評 価機能では、システムでMegaCoreファンクションの動作をシミュレーショ ン、デザインの動作を検証、そしてサイズおよびスピードを容易に検証するこ とができます。さらに、Quartus II開発ソフトウェアは、MegaCoreファンク ションを含むデザインに対して期限付きのプログラミング・ファイルを生成す ることができ、IPメガファンクションのライセンスを購入する前に、デバイス をプログラムおよびハードウェアでデザイン検証することができます。

OpenCore Plus ハードウェア機能が Settings ダイアログ (Assignments メ ニュー) のCompilation Processページでオンになるとき、Quartus II開発ソ フトウェアは少量のコントロール・ロジックをインサートします。このロジッ クは、特に小型のデバイスではフィッティングで悪影響を与えます。OpenCore Plusハードウェア評価機能をオフにして、Quartus II開発ソフトウェアでのロ ジックの追加を除外することができます。

MegaWizard Plug-In Manager

MegaWizard Plug-In Managerは、多様なカスタム・メガファンクションを含むデ ザイン・ファイルの作成または変更に役立ち、デザイン・ファイルにインスタンス することができます。これらのカスタム・メガファンクションの種類は、LPM、

MegaCore、およびAMPPファンクションを含むアルテラのメガファンクションが

基本となっています。MegaWizard Plug-In Managerは、多様なカスタム・メガファ ンクションのオプションを簡単に指定できるウィザードを実行します。ウィザード により、パラメータおよびオプション・ポートの値を設定できます。MegaWizard Plug-In Managerは、Toolsメニューまたはブロック・デザイン・ファイルから開く ことができ、さらにスタンドアロン・ユーティリティとしても実行できます。表 4 に、ユーザが生成する各カスタム・メガファンクションの種類に対してMegaWizard Plug-In Managerで生成されたファイルを示します。

表 4. MegaWizard Plug-In Managerで生成されたファイル (1/2)

ファイル名 説明

<出力ファイル>.bsf ブロック・エディタで使用されるメガファンクションの記号

<出力ファイル>.cmp コンポーネント宣言ファイル (Component Declaration File)

<出力ファイル>.inc メガファンクション・ラッパ・ファイルにあるモジュールのAHDL

インクルード・ファイル

<出力ファイル>.tdf AHDL デザインでインスタンスするためのメガファンクション・ラッパ・

ファイル

ドキュメント内 Intoroduction to Quartus IIマニュアル Ver. 4.2 (ページ 54-59)