• 検索結果がありません。

の Verilog HDL および VHDL 論理合成機能

ドキュメント内 Intoroduction to Quartus IIマニュアル Ver. 4.2 (ページ 74-81)

Analysis & Synthesis機能を使用して、Verilog HDLおよびVHDLデザインの解析と 合成を行うことができます。Analysis & Synthesis 機能には、Verilog HDLおよび VHDL 言語を完全にサポートし、論理合成プロセスの制御オプションを備えた Quartus IIの論理合成機能が含まれます。

Analysis & Synthesisでは、Verilog-1995標準 (IEEE Std. 1364-1995) およびVerilog-2001 標準 (IEEE Std. 1364-2001) をサポートし、また、VHDL 1987標準 (IEEE Std. 1076-1987) および1993 (IEEE Std. 1076-1993) 標準もサポートしています。Analysis & Synthesis で使用する言語を選択できますが、デフォルトではVerilog-2001およびVHDL 1993が 使用されます。ほかの EDA ベンダの論理合成ツールを使用する場合には、非 Quartus IIのファンクションにマッピングするのにライブラリ・マッピング・ファイ

ル (.lmf) を使用します。これらとその他のオプションは、Settings ダイアログ

(Assignmentsメニュー) のAnalysis & Synthesis SettingsのVerilog HDL Inputおよ びVHDL Inputページで指定できます。図 2に、これらのページを示しています。

quartus_map実行コマンドの使い方

また、quartus_map実行コマンドを使用して、各コマンド・プロンプトまたはスクリプトでAnalysis &

Synthesisを個別に実行することができます。プロジェクトを未作成の場合、quartus_map実行コマン

ドは新規プロジェクトを作成します。

quartus_map実行コマンドでは、任意のテキスト・エディタで表示できるテキスト・ベースのレポー

ト・ファイルが個別に作成されます。

quartus_map実行コマンドでヘルプを参照する場合は、コマンド・プロンプトで次のコマンドのいずれ かを入力します。

quartus_map -h

quartus_map --help

quartus_map --help=<トピック名>

第 4 章: 論理合成

Quartus IIVerilog HDLおよび VHDL論理合成機能

図 2. SettingsダイアログのVerilog HDL InputおよびVHDL Inputページ

VHDL Input ページ

Verilog HDL Inputページ

第 4 章: 論理合成 Quartus IIVerilog HDLおよび VHDL論理合成機能

ほとんどのVerilog HDLおよびVHDLデザインは、Quartus IIの論理合成機能および 他のEDA論理合成ツールで問題なくコンパイルできます。デザインにアルテラ・メ ガファンクション、LPM (Library of parameterized modules) ファンクション、ま たはサード・パーティEDAツールでIP (Intellectual Property) をインスタンスする 場合、hollow-bodyまたはブラック・ボックス・ファイルを使用する必要がありま す。ただし、QuartusII の論理合成機能を使用している場合は、ブラック・ボック ス・ファイルを使用せずに、直接メガファンクションをインスタンスすることがで き ます。メ ガ フ ァン ク シ ョン の イ ンス タ ン スに つ い て詳 し く は、49ペ ージ の

「Quartus II開発ソフトウェアでの メガファンクションのインスタンス」および 「第

2章: デザイン・エントリ」、51ページの「EDAツールでのメガファンクションの イ

ンスタンス」を参照してください。

Verilog HDLおよびVHDLデザインを作成するとき、これらのファイルをプロジェ

クトに追加する必要があります。プロジェクトの作成時に、New Projectウィザー ド (Fileメニュー) を使用するか、SettingsダイアログのFilesページを使用してデザ イン・ファイルを追加できます。または、Quartus IIテキスト・エディタでファイ ルを編集する場合、保存するときに現在のプロジェクトにファイルを追加するよう 指示が出ます。プロジェクトにファイルを追加するときは、Quartus IIの論理合成 の処理順で追加されているかを確認する必要があります。 VHDLデザインを使用し ている場合は、FilesページのPropertiesダイアログでデザインのVHDLライブラリ を指定することができます。 VHDL ライブラリを指定しない場合、 Analysis &

SynthesisはVHDLエンティティをworkライブラリにコンパイルします。プロジェ

クトへのファイルの追加について詳しくは、「第2章: デザイン・エントリ」、41ペー ジの「デザインの作成」を参照してください。

Analysis & Synthesisでは、すべてのデザイン・ファイルをデザイン・エンティティ またはプロジェクトの階層構造に統合し、単一プロジェクト・データベースが作成 されます。Quartus II開発ソフトウェアは、以降のプロジェクト処理に対してこの データベースを使用します。他のコンパイラ・モジュールは、完全に最適化された プロジェクトが生成されるまで、データベースを更新していきます。当初、データ ベースには元のネットリストだけが含まれていますが、最終的にはタイミング・シ ミュレーションやタイミング解析、デバイス・プログラミングなど、作成された1つ 以上のファイルを含む完全に最適化およびフィッティングされたプロジェクトが含 まれます。

データベースが作成される度に、Analysis & Synthesisの解析段階では、プロジェク トの論理的完成度および一貫性が検証され、境界連結性および構文エラーがチェッ クされます。

第 4 章: 論理合成

Quartus IIVerilog HDLおよび VHDL論理合成機能

Analysis & Synthesisでは、デザイン・エントリまたはプロジェクトのファイルにあ るロジックにテクノロジ・マッピングを実行します。さらに、Verilog HDLおよび VHDL記述からフリップフロップ、ラッチ、およびステート・マシンが推定されま す。ステート・マシンのステート・アサインメントが作成され、使用するリソース の量を最小化するような選択が行われます。さらに、「+」または「-」などの演算子 がアルテラ・デバイス用に最適化されたアルテラの LPM ファンクションからモ ジュールに置き換えられます。

Analysis & Synthesisは、いくつかのアルゴリズムを使用して、ゲート・カウントを 最小化し、冗長ロジックを除き、デバイス・アーキテクチャを可能な限り効果的に 利用します。ロジック・オプションを使用して、合成をカスタマイズできます。ま た、Analysis & Synthesisではロジック合成手法が適用され、プロジェクトのタイミ ング要求の実現に役立ち、デザインがこれらの要求に適合するよう最適化されます。

MessagesウィンドウおよびReportウィンドウのMessagesセクションには、Analysis

& Synthesisで生成されたメッセージが表示されます。Statusウィンドウには、プロ ジェクトのコンパイルの際、Analysis & Synthesisでの処理にかかった時間が記録さ れます。

関連情報 参照先

Quartus II開発ソフトウェアでサポートされてい るVerilog HDL

Quartus IIヘルプの「Quartus II Verilog HDL Support」

Quartus II開発ソフトウェアでサポートされてい るVHDL

Quartus IIヘルプの「Quartus II VHDL Support」

Quartus II合成機能 アルテラWebサイトのQuartus II Handbook、Volume

1のChapter 7「Quartus II Integrated Synthesis」

第 4 章: 論理合成 他のEDA合成ツール

他の EDA 合成ツール

他のEDA合成ツールを使用して、Verilog HDLまたはVHDLデザインを合成し、

Quartus II開発ソフトウェアで使用可能なEDIFネットリスト・ファイルまたはVQM ファイルを生成できます。

アルテラは、多くのEDA合成ツールと共に使用するライブラリを提供しています。

また、多くのツールに対してNativeLink®も提供しています。NativeLinkテクノロ ジは、Quartus II開発ソフトウェアと他のEDAツールの間のシームレスな情報転送 を実現し、Quartus IIグラフィカル・ユーザ・インタフェースからEDAツールの自 動実行を可能にします。

他のEDAツールを使用してアサインメントまたは制約を規定した場合、Tclコマン ド ま た は ス ク リ プ ト を 使 用 し て、こ れ ら の 制 約 を デ ザ イ ン・フ ァ イ ル と 共 に

Quartus II開発ソフトウェアにインポートします。 多くのEDAツールは、アサインメ

ントについてTclスクリプトを自動的に生成します。表 1は、Quartus IIがサポート するEDA合成ソフトウェアを示しています。

Settingsダイアログ (Assignmentsメニュー) のEDA Tool SettingsのDesign Entry &

Synthesisページで、使用するEDA合成ツールを指定することができます。また、

NativeLinkサポートを備えているEDAツールをQuartus II開発ソフトウェアでフ 表 1. Quartus IIがサポートするEDA合成ツール

合成ツール名 EDIFネットリスト・

ファイル (.edf) VQMファイル (.vqm) NativeLink サポート

Mentor Graphics社 LeonardoSpectrum ✔ ✔

Mentor Graphics社 Precision RTL Synthesis

✔ ✔

Synopsys社 Design Compiler

Synopsys社 Design Compiler FPGA

Synopsys社 FPGA Compiler II ✔ ✔

Synplicity社 Synplify ✔ ✔ ✔

Synplicity社 Synplify Pro ✔ ✔

第 4 章: 論理合成 他のEDA合成ツール

図 3. SettingsダイアログのDesign Entry & Synthesisページ

Design Entry & SynthesisページでEDA合成ツールを指定している場合、Start >

Start EDA Synthesis (Processingメニュー) を選択して、Quartus II開発ソフトウェ アでそのツールを実行できます。 また、多くのEDAツールは、自身のそのEDAツー ルのグラフィカル・ユーザ・インタフェースからもQuartus II開発ソフトウェアを 実行できます。詳しくは、EDAツールの資料を参照してください。

第 4 章: 論理合成 Analysis & Synthesisの制御

Analysis & Synthesis の制御

以下のオプションおよび機能を使用して、Quartus IIのAnalysis & Synthesisを制御 できます。

コンパイラのディレクティブおよびアトリビュート

Quartus IIロジック・オプション

Quartus II合成ネットリスト最適化オプション

関連情報 参照先

Synplicity社のSynplifyソフトウェア アルテラWebサイトのQuartus II Handbook、Volume 1 Chapter 8「Synplicity Synplify and Synplify Pro Support」

Mentor Graphics社のLeonardoSpectrum アルテラWebサイトのQuartus II Handbook、Volume 1Chapter 9「Mentor Graphics LeonardoSpectrum Support」

Mentor Graphics社のPrecision RTL Synthesis アルテラWebサイトのQuartus II Handbook、Volume 1 Chapter 10「Mentor Graphics Precision RTL Synthesis Support」

Synopsys社のFPGA Compiler IIソフトウェア アルテラWebサイトのQuartus II Handbook、Volume 1のChapter 11「Synopsys FPGA Compiler II BLIS and the Quartus II LogicLock Design Flow」

Synopsys社のDesign Compiler FPGA ソフトウェア

アルテラWebサイトのQuartus II Handbook、Volume 1 Chapter 12「Synopsys Design Compiler FPGA Support」

第 4 章: 論理合成

Analysis & Synthesisの制御

コンパイラのディレクティブおよびアトリビュート

Quartus II開発ソフトウェアでは、プラグマとも呼ばれるコンパイラ・ディレクティ ブがサポートされています。translate_onやtranslate_offといったコンパイ ラ・ディレクティブを、Verilog HDLまたはVHDLコードにコメントとして含める ことができます。これらのディレクティブは、Verilog HDLまたはVHDLコマンド ではありませんが、合成ツールはこれらのディレクティブを使用して、特定の方法 で合成プロセスを実行します。シミュレータなど他のツールは、これらのディレク ティブを無視しコメントとして扱います。

また、プラグマまたはディレクティブとされるアトリビュートを指定し、特定のデ ザイン・エレメントの合成プロセスを実行することができます。一部のアトリビュー トは、Quartus IIロジック・オプションとしても利用できます。

ドキュメント内 Intoroduction to Quartus IIマニュアル Ver. 4.2 (ページ 74-81)