• 検索結果がありません。

開発ソフトウェアでのメガファンクションの

ドキュメント内 Intoroduction to Quartus IIマニュアル Ver. 4.2 (ページ 59-74)

ブロック・エディタでのダイレクト・インスタンス、HDLコードでのインスタンス (ポートおよびパラメータ定義を介したインスタンス、またはMegaWizard Plug-In Managerを使用してメガファンクションをパラメータ化し、ラッパ・ファイルを作 成するかのいずれか)、またはインタフェースを介して、Quartus II開発ソフトウェ アのアルテラ・メガファンクションおよびLPMファンクションをインスタンスでき ます。

アルテラでは、MegaWizard Plug-In Managerを使用してメガファンクションをイ ンスタンスし、カスタム・メガファンクションの種類を作成することを推奨します。

ウィザードでは、メガファンクションのカスタマイズおよびパラメータ化のための グラフィカル・インタフェースが提供され、すべてのメガファンクション・パラメー タを正しく設定できるようになっています。

<出力ファイル>.v Verilog HDLデザインでインスタンスするためのメガファンクション・

ラッパ・ファイル

<出力ファイル>_bb.v Verilog HDLデザインで使用され、EDA合成ツール使用時にポートの方向を

指定する、メガファンクション・ラッパ・ファイルにあるモジュールの hollow-bodyまたはブラック・ボックス宣言 (Black Box Declaration)

<出力ファイル>_inst.tdf メガファンクション・ラッパ・ファイルにあるサブデザインのAHDL

インスタンス・サンプル

<出力ファイル>_inst.vhd メガファンクション・ラッパ・ファイルにあるエンティティのVHDL

インスタンス・サンプル

<出力ファイル>_inst.v メガファンクション・ラッパ・ファイルにあるモジュールのVerilog HDL

インスタンス・サンプル

表 4. MegaWizard Plug-In Managerで生成されたファイル (2/2)

ファイル名 説明

スタンドアロンMegaWizard Plug-In Managerの使い方

コマンド・プロンプトで以下のコマンドを入力して、Quartus II開発ソフトウェアの外部から MegaWizard Plug-In Managerを使用できます。

qmegawiz

第 2 章: デザイン・エントリ アルテラのメガファンクション

Verilog HDL および VHDL におけるインスタンス

MegaWizard Plug-In Managerを使用して、メガファンクションまたはカスタム・

メガファンクションのバリエーションを作成できます。次に、MegaWizard Plug-In Managerは、デザインで使用できるメガファンクションのインスタンスを含んだ

Verilog HDLまたはVHDLラッパ・ファイルを作成します。VHDLメガファンクショ

ンでは、MegaWizard Plug-In Managerにより、コンポーネント宣言ファイルも作 成されます。

ポートおよびパラメータ定義

他のモジュールまたはコンポーネントのようにファンクションを呼び出すことで、

Verilog HDLまたはVHDLデザインでメガファンクションを直接インスタンスでき

ます。VHDLでは、コンポーネント宣言を使用する必要もあります。

メガファンクションの推定

Quartus IIのAnalysis & Synthesisは、一定のHDLコードを自動的に認識し、適切な メガファンクションを推定します。この推定が使用されるのは、アルテラのメガファ ンクションがアルテラ・デバイス用に最適化されているためで、そのパフォーマン

スは標準HDLコードよりも優れています。RAMおよびDSPブロックのような一部

のアーキテクチャの特定の機能に対しては、アルテラ・メガファンクションを使用 する必要があります。

Quartus II 開発ソフトウェアでは、合成時に以下のロジックがメガファンクション

にマッピングされます。

カウンタ

加算器/減算器

乗算器

乗算累積器および乗算加算器

RAM

シフト・レジスタ

第 2 章: デザイン・エントリ アルテラのメガファンクション

EDA ツールでのメガファンクションの インスタンス

EDAデザイン・エントリおよび合成ツールで、アルテラのメガファンクション、LPM

ファンクション、およびIPファンクションを使用することができます。各ファンク ションのブラック・ボックスを作成、推定したり、またはクリア・ボックス手法を 使用してEDAツールでメガファンクションをインスタンスできます。

ブラック・ボックス手法

MegaWizard Plug-In Managerを使用して、メガファンクションのVerilog HDLま た は VHDL ラ ッ パ・フ ァ イ ル を 生 成 で き ま す。Verilog HDL デ ザ イ ン で は、

MegaWizard Plug-In Managerにより、ポートの方向の指定に使用するモジュール のhollow-body宣言を含んだVerilogデザイン・ファイルも生成されます。

Verilog HDLまたはVHDLラッパ・ファイルには、メガファンクションのポートお

よびパラメータが含まれます。これを使用すると、トップレベル・デザイン・ファ イルのメガファンクションをサンプル・インスタンス・ファイルと同様にインスタ ンスし、合成時にはEDAツールがメガファンクションをブラック・ボックスとして 扱うことができます。

MegaWizard Plug-In Manager を使用して、アルテラ・メガファンクションまたは EDAデザイン・エントリおよび合成ツールのLPMファンクションのブラック・ボッ クスを作成する際の基本的なフローを下記に示します。

1. MegaWizard Plug-In Managerを使用して、メガファンクションまたはLPM ファンクションを作成およびパラメータ化します。

2. MegaWizard Plug-In Managerで生成したブラック・ボックス・ファイルまた はコンポーネント宣言 (サンプル・インスタンス・ファイルに加えて) を使用 して、EDA合成ツールでファンクションをインスタンスします。

3. EDA合成ツールでデザインの合成および最適化を実行します。EDA合成ツー ルは、合成時にメガファンクションをブラック・ボックスとして扱います。

推定によるインスタンス

EDA合成ツールは、一定のHDLコードを自動的に認識し、適切なメガファンクショ ンを推定します。メモリ・ブロック (RAMおよびROM)、DSPブロック、シフト・レ ジスタ、およびVerilog HDLまたはVHDLコードの一部演算コンポーネントを直接

第 2 章: デザイン・エントリ アルテラのメガファンクション

クリア・ボックス手法

ブラック・ボックス・フローでは、EDA合成ツールがアルテラのメガファンクショ ンおよびLPMファンクションをブラック・ボックスとして扱います。このため、EDA 合成ツールはアルテラのメガファンクションを使用したデザイン合成および最適化 を完全には行うことはできません。これは、ツールにファンクションのフル・モデ ルまたはタイミング情報がないためです。そこで、クリア・ボックス・フローを使 用することにより、MegaWizard Plug-In Managerを使用して、EDA合成ツールと 一緒に使用可能であり、完全に合成可能なアルテラのメガファンクションまたは LPMファンクションを作成できます。

EDA合成ツールとともにクリア・ボックス・メガファンクションを使用する際の基 本的なフローを下記に示します。

1. MegaWizard Plug-In Managerを使用して、メガファンクションまたはLPM ファンクションを作成お よびパラメータ化します。MegaWizard Plug-In ManagerでGenerate a Clearbox bodyがオンになっているかを確認します。

2. MegaWizard Plug-In Managerで生成したVerilogまたはVHDLデザイン・ファ イルを使用して、EDA合成ツールでファンクションをインスタンスします。

3. EDA合成ツールでデザインの合成および最適化を実行します。

クリア・ボックス手法を使用すると、一般的には、クリア・ボックス・メガファン クションまたは LPMファンクションと共に詳細な情報が含まれる (タイミング情報 および使用するデバイス・リソース) ため、(Quartus IIシミュレータではなく) EDA シミュレーション・ツールでのシミュレーション時間が遅くなります。さらに、デ バイス特有の詳細な情報がクリア・ボックス・メガファンクションまたはLPMファ ンクションに含まれるため、デザインに様々なデバイスを使用するには、クリア・

ボックス・ファンクションを新規デバイス用に再生成する必要があります。

第 2 章: デザイン・エントリ アルテラのメガファンクション

関連情報 参照先

メガファンクションのポートおよびパラメータ のリスト

IPファンクションを使用している場合、IPの資料を参 照してください。アルテラ・メガファンクションにつ いては、Quartus IIヘルプを参照してください。

EDAツールにあるアルテラのメガファンクショ ンおよびLPMファンクション

Quartus IIヘルプの「Overview: Creating & Instantiating Altera-Provided Functions in Other EDA Tools」

アルテラWebサイトのQuartus II Handbook、Volume 1 Chapter 8「Synplicity Synplify and Synplify Pro Support」

アルテラWebサイトのQuartus II Handbook、Volume 1Chapter 9「Mentor Graphics LeonardoSpectrum Support」

アルテラWebサイトのQuartus II Handbook、Volume 1 Chapter 10「Mentor Graphics Precision RTL Synthesis Support」

アルテラWebサイトのQuartus II Handbook、Volume 1 Chapter 12「Synopsys Design Compiler FPGA Support」

Quartus II開発ソフトウェアに含まれるアルテラ

のメガファンクションおよびLPMファンクション

Quartus IIチュートリアルの「Design Entry module」

MegaWizard Plug-In Manager、アルテラのメガ ファンクションおよびLPMファンクション

Quartus IIヘルプの「Overview: Using the MegaWizard Plug-In Manager」

MegaCoreファンクションおよびOpenCore Plus ハードウェア評価機能

アルテラWebサイトのApplication Note 343 (OpenCore Evaluation of AMPP Megafunctions)

アルテラWebサイトのApplication Note 320 (OpenCore Evaluation of AMPP Megafunctions)

アルテラWebサイトのUsing IP Functional Simulation Models to Verify Your System Designホワイトペーパ

3章の内容:

はじめに 56

アサインメント・エディタ 57

Settingsダイアログ 59

制約の入力

3

3

第 3 章: 制約の入力 はじめに

はじめに

プロジェクトおよびデザインを作成するとき、Quartus®II 開発ソフトウェアの Settingsダイアログ (Assignmentsメニュー)、アサインメント・エディタ、および フロアプラン・エディタを使用して、ピンのアサインメント、デバイス・オプショ ン、ロジック・オプション、およびタイミング制約などの初期デザイン制約を指定 できます。Import Assignmentsコマンド (Assignmentsメニュー) を使用してアサ インメントをインポート、またはExport コマンド (Fileメニュー) を使用してアサイ ンメントをエクスポートすることができます。また、Tclコマンドまたはスクリプト を使用して、アサインメントを他のEDA合成ツールからインポートすることもでき

ます。 Quartus II開発ソフトウェアは、初期デザイン制約の指定を支援するTiming

ウィザード (Assignmentsメニュー) も提供しています。 MAX+PLUS®IIクイック・

メニューのAssign コマンドから利用できる多くの設定は、アサインメント・エディ

タおよびSettingsダイアログで実行できます。図 1に、制約およびアサインメント

の入力フローを示します。

図 1. 制約およびアサインメント入力フロー

䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬䎃

䮞䮴䭿䭮䭶䮏䯂䮜䭨䭫䮲䎃䎋䎑䏔䏓䏉䎌

䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬 䎤䏖䏖䏌䏊䏑䏐䏈䏑䏗䎃䎨䏇䏌䏗䏒䏕

䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬 䎶䏈䏗䏗䏌䏑䏊䏖䮇䭫䭩䮴䭷

䎹䎴䎰䮜䭨䭫䮲䎃䎋䎑䏙䏔䏐䎌䎃䬙䭗䭂䎃 䎴䏘䏄䏕䏗䏘䏖⸳ቯ䮜䭨䭫䮲䎃䎋䎑䏔䏖䏉䎌 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬

⸳ቯ䮜䭨䭫䮲䎃䎋䎑䏔䏖䏉䎌

䮝䮴䮊䭶䯂䮠䯃䮀䯂䮎䭽䭫䮺䬚䭘

䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬䎃 䎤䏑䏄䏏䏜䏖䏌䏖䎃䎉䎃䎶䏜䏑䏗䏋䏈䏖䏌䏖䭇 䎴䏘䏄䏕䏗䏘䏖䎃䎬䎬䎃

䮎䭽䭫䮺䯂䮜䭨䭫䮲

ドキュメント内 Intoroduction to Quartus IIマニュアル Ver. 4.2 (ページ 59-74)