• 検索結果がありません。

UltraScale+ Devices Integrated Block for PCI Express v1.1 LogiCORE IP 製品ガイド (PG213)

N/A
N/A
Protected

Academic year: 2021

シェア "UltraScale+ Devices Integrated Block for PCI Express v1.1 LogiCORE IP 製品ガイド (PG213)"

Copied!
317
0
0

読み込み中.... (全文を見る)

全文

(1)

UltraScale+ Device

Integrated Block for PCI

Express v1.1

LogiCORE IP 製品ガイ ド

Vivado Design Suite

PG213 2016 年 10 月 5 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。

資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新

情報につきましては、必ず最新英語版をご参照ください。

(2)

IP の概要

第 1 章: 概要

機能概要 . . . 7 アプ リ ケーシ ョ ン . . . 8 サポー ト さ れていない機能 . . . 8 ラ イ セ ン スお よ び注文情報 . . . 9

第 2 章: 製品仕様

準拠す る 規格 . . . 10 リ ソ ース の使用 . . . 10 利用可能な PCI Express 用統合ブ ロ ッ ク . . . 10 GT の ロ ケーシ ョ ン . . . 13 ポー ト の説明 . . . 13 コ ン フ ィ ギ ュ レーシ ョ ン空間 . . . 73

第 3 章: コ ア を使用するデザイ ン

Tandem コ ン フ ィ ギ ュ レーシ ョ ン . . . 80 ク ロ ッ キ ン グ . . . 102 リ セ ッ ト . . . 103 AXI4-Stream イ ン タ ーフ ェ イ ス の説明 . . . 104 パ ワー マネージ メ ン ト . . . 225 割 り 込み リ ク エ ス ト の生成 . . . 228 受信 メ ッ セージ イ ン ターフ ェ イ ス . . . 232 コ ン フ ィ ギ ュ レーシ ョ ン マネージ メ ン ト イ ン タ ーフ ェ イ ス . . . 235 リ ン ク ト レーニ ン グ: 2 レーン、 4 レーン、 8 レーン、 およ び 16 レーン コ ン ポーネン ト . . . 237 レーン反転 . . . 239

第 4 章: デザイ ン フ ローの手順

コ アのカ ス タ マ イ ズお よ び生成 . . . 240 コ アへの制約 . . . 261 シ ミ ュ レーシ ョ ン . . . 262 合成お よ び イ ンプ リ メ ン テーシ ョ ン . . . 264

第 5 章: サン プル デザイ ン

サンプル デザ イ ンの概要 . . . 265 コ アの生成 . . . 278 サンプル デザ イ ンのシ ミ ュ レーシ ョ ン . . . 280 サンプル デザ イ ンの合成お よび イ ンプ リ メ ン テーシ ョ ン . . . 281

第 6 章: テス ト ベン チ

(3)

Vivado Design Suite でのア ッ プグ レー ド . . . 301

付録 B: GT のロケーシ ョ ン

Virtex UltraScale+ デバ イ ス の GT の ロ ケーシ ョ ン . . . 304 Kintex UltraScale+ デバ イ ス の GT の ロ ケーシ ョ ン . . . 307 Zynq UltraScale+ デバ イ ス の GT の ロ ケーシ ョ ン . . . 308

付録 C: デバ ッ グ

ザ イ リ ン ク ス ウ ェ ブサ イ ト . . . 310 ハー ド ウ ェ ア デバ ッ グ . . . 311

付録 D: その他の リ ソ ースおよび法的通知

ザ イ リ ン ク ス リ ソ ース . . . 315 参考資料 . . . 315 改訂履歴 . . . 316 法的通知 . . . 317

(4)

は じ めに

ザ イ リ ン ク ス の UltraScale+ Device Integrated Block for PCIe ソ リ ュ ーシ ョ ン IP コ アは、 UltraScale+™ デバ イ ス で使用する、 高帯域かつス ケー ラ ブルで信頼性の高いシ リ アル イ ン タ ー コ ネ ク ト の構築ブ ロ ッ ク ソ リ ューシ ョ ンです。 こ の コ アは、 1 レーン、 2 レーン、 4 レーン、 8 レーン、 お よ び 16 レーン のエン ド ポ イ ン ト コ ン フ ィ ギ ュ レーシ ョ ン をサポー ト し 、 Gen1 (2.5GT/s)、 Gen2 (5.0GT/s)、 お よ び Gen3 (8GT/s) ス ピー

ド に対応 し ます。 『PCI Express Base Specification rev3.1』 [参照 2] に準拠 し てい ます。 こ の ソ リ ュ ーシ ョ ンは、 カ ス タ マー ユーザー イ ン タ ーフ ェ イ ス用の AXI4-Stream イ ン ター フ ェ イ ス をサポー ト し てい ます。

機能

• 『PCI Express Base Specification 3.1』 [参照 2] に準拠 • PCI Express エン ド ポ イ ン ト 、 レ ガシ エン ド ポ イ ン ト ま

たはルー ト ポー ト モー ド • x1、 x2、 x4、 x8、 x16 の リ ン ク 幅 • Gen1、 Gen2、 Gen3 の リ ン ク ス ピー ド

• カ ス タ マー ロ ジ ッ ク 接続する AXI4-Stream イ ン タ ー フ ェ イ ス • 内部 ロ ジ ッ ク デー タ パスおよ びデータ イ ン ターフ ェ イ ス でのパ リ テ ィ 保護 • ア ド バン ス エ ラ ー レ ポー ト (AER) および End-to-End CRC (ECRC) • ト ラ ンザ ク シ ョ ンのバ ッ フ ァ リ ン グに使用す る ブ ロ ッ ク RAM • 仮想チ ャ ネル x 1、 ト ラ フ ィ ッ ク ク ラ ス x 8 • 最大 4 つの Physical Function (PV) および 252 の Virtual Function (VF) • ビル ト イ ンの レーン反転お よ びレ シーバーの レーン間 ス キ ュ ー調整 • 完全に コ ン フ ィ ギ ュ レーシ ョ ン可能な 3 x 64 ビ ッ ト ま たは 6 x 32 ビ ッ ト のベース ア ド レ ス レ ジ ス タ (BAR) 機能の一覧は、「機能概要」 を参照 し て く だ さ い。 こ の LogiCORE™ IP について コ アの概要 サポー ト さ れ る デバ イ ス フ ァ ミ リ(1) UltraScale+ サポー ト さ れ る ユーザー イ ン タ ーフ ェ イ ス AXI4-Stream

リ ソ ース Performance and Resource Utilization

( ウ ェ ブ ページ) コ アに含まれる も の デザ イ ン フ ァ イ ル Verilog サンプル デザ イ ン Verilog テ ス ト ベンチ Verilog 制約フ ァ イ ル XDC シ ミ ュ レーシ ョ ン モデル Verilog サポー ト さ れ る ソ フ ト ウ ェ ア ド ラ イ バー N/A テ ス ト 済みデザイ ン フ ロー(2)

デザ イ ン入力 Vivado® Design Suite

シ ミ ュ レーシ ョ ン

サポー ト さ れ る シ ミ ュ レー タ については、

『Vivado Design Suite ユーザー ガ イ ド : リ リ ー ス ノ ー ト 、 イ ン ス ト ールお よ び ラ イ セン ス』 を参照 合成 Vivado 合成 サポー ト ザ イ リ ン ク ス サポー ト ウ ェ ブ ページで提供 注記: 1. サポー ト さ れてい る デバ イ ス の一覧は、 Vivado IP カ タ ロ グ を参 照 し て く だ さ い。 2. サポー ト さ れてい る ツールのバージ ョ ンは、『Vivado Design Suite ユーザー ガ イ ド : リ リ ー ス ノ ー ト ガ イ ド 、 イ ン ス ト ールお よ び ラ イ セ ン ス』 を参照 し て く だ さ い。

(5)

概要

UltraScale+ Device Integrated Block for PCIe® コ アは、 UltraScale+™ デバ イ ス で使用す る 高帯域幅で ス ケー ラ ブルな シ リ アル イ ン タ ーコ ネ ク ト の構築ブ ロ ッ ク であ り 、 UltraScale+ デバ イ ス内の統合ブ ロ ッ ク を イ ン ス タ ン シエー ト し ま す。

重要: UltraScale デバイ スにデザイ ン を イ ンプ リ メ ン ト する場合は、 『UltraScale Devices Gen3 Integrated Block for PCI Express LogiCORE IP 製品ガ イ ド 』 (PG156) [参照 3] を参照 し て く だ さ い。

(6)

X-Ref Target - Figure 1-1 図 1-1: コ アのイ ン タ ー フ ェ イ ス ,QWHJUDWHG%ORFNIRU3&,([SUHVV 8VHU$SSOLFDWLRQ $;,6WUHDP(QKDQFHG,QWHUIDFH &RPSOHWHU &RPSOHWLRQ ,QWHUIDFH &RPSOHWHU UH4XHVWHU ,QWHUIDFH 5HTXHVWHU &RPSOHWLRQ ,QWHUIDFH 5HTXHVWHU UH4XHVWHU ,QWHUIDFH 3&,H &RPSOHWHU ,QWHUIDFH PBD[LVBFTB VBD[LVBFFB VBD[LVBUTB SFLHBWDJBDY>@ PBD[LVBUFB &RQILJXUDWLRQ0DQDJHPHQW ,QWHUIDFH FIJBPJPWB FIJBPJPWBUHDGBGDWD FIJBPJPWBUHDGBZULWHBGRQH &RQILJXUDWLRQ6WDWXV,QWHUIDFH FIJBPVJBUHFHLYHG FIJBPVJBWUDQVPLWBGRQH FIJBPVJBWUDQVPLWB &RQILJXUDWLRQ)&LQWHUIDFH FIJBIFB FIJBIFBVHO FIJB &RQILJXUDWLRQ&RQWURO,QWHUIDFH FIJB FIJBLQWHUUXSWB FIJBLQWHUUXSWBPVLB FIJBLQWHUUXSWBPVL[B &RQILJXUDWLRQ([WHQGHG,QWHUIDFH FIJBH[WBUHDGBGDWD FIJBH[WBUHDGBGDWDBYDOLG FIJBH[WB &ORFNDQG5HVHW,QWHUIDFH XVHUBFON XVHUBUHVHW V\VBUHVHW 3&,([SUHVV SFLBH[S V\VBFON 3&,H 5HTXHVWHU ,QWHUIDFH &RQILJXUDWLRQ5HFHLYHG 0HVVDJH,QWHUIDFH &RQILJXUDWLRQ7UDQVPLW0HVVDJH ,QWHUIDFH &RQILJXUDWLRQ,QWHUUXSW &RQWUROOHU,QWHUIDFH $;, 6WUHDP 6ODYH $;, 6WUHDP 0DVWHU $;, 6WUHDP 6ODYH $;, 6WUHDP 6ODYH $;, 6WUHDP 0DVWHU $;, 6WUHDP 0DVWHU $;, 6WUHDP 6ODYH $;, 6WUHDP 0DVWHU 7DJ $YDLDELOLW\ 6WDWXV ;

(7)

機能概要

Integrated Block for PCI Express (PCIe®) ソ リ ュ ーシ ョ ン の GTH お よ び GTY ト ラ ン シーバーは、 1 レーン、 2 レーン、 4 レーン、 8 レーン、 お よ び 16 レーン動作を サポー ト し 、 ラ イ ン レー ト は 2.5GT/s (Gen1)、 5.0GT/s (Gen2)、 お よ び 8.0GT/s (Gen3) に対応 し てい ます。 ま たエ ン ド ポ イ ン ト お よ びルー ト ポー ト コ ン フ ィ ギ ュ レーシ ョ ン がサポー ト さ れてい ます。 カ ス タ マー ユーザー イ ン タ ーフ ェ イ スは、 AMBA® AXI4-Stream イ ン タ ーフ ェ イ ス に準拠 し ています。 こ の イ ン タ ーフ ェ イ ス では、 リ ク エ ス タ ー イ ン タ ーフ ェ イ ス、 コ ンプ リ ーシ ョ ン イ ン ターフ ェ イ ス、 お よび メ ッ セージ イ ン タ ーフ ェ イ ス を個別にサポー ト し ます。 こ れに よ っ て、 デー タ ア ラ イ メ ン ト と パ リ テ ィ チ ェ ッ ク に柔軟性が備わ り ます。 デー タ の フ ロ ー制御は、 受信お よ び送信方向でサポー ト さ れてい ます。 さ ら に送信方向では、 進行中 ト ラ ン ザ ク シ ョ ンの中断がサポー ト さ れてい ます。 オプシ ョ ンの連続 ト ラ ンザ ク シ ョ ンでは、 ス ト ラ ド ルを使用 し て よ り 高い リ ン ク 帯域幅を提供 し ます。 こ の コ アの特長は次の と お り です。

• 『PCI Express Base Specification 3.1』 [参照 2] に準拠

• PCI Express エン ド ポ イ ン ト 、 レ ガシ エン ド ポ イ ン ト ま たはルー ト ポー ト モー ド • x1、 x2、 x4、 x8、 x16 の リ ン ク 幅

• Gen1、 Gen2、 Gen3 の リ ン ク ス ピー ド

• カ ス タ マー ロ ジ ッ ク に接続する AXI4-Stream イ ン ターフ ェ イ ス

° 64 ビ ッ ト /128 ビ ッ ト /256 ビ ッ ト /512 ビ ッ ト に設定可能なデー タ パ ス 幅

° イ ニシエー タ ー / タ ーゲ ッ ト 、 リ ク エ ス ト / コ ンプ リ ーシ ョ ンの 4 つの独立 し た ス ト リ ーム

• 内部 ロ ジ ッ ク データ パスおよ びデータ イ ン タ ーフ ェ イ ス でのパ リ テ ィ 保護 • ア ド バン ス エ ラ ー レ ポー ト (AER) および End-to-End CRC (ECRC)

• ト ラ ンザ ク シ ョ ンのバ ッ フ ァ リ ン グに使用す る ブ ロ ッ ク RAM ° 16 KB - リ プ レ イ バ ッ フ ァ ー ° 4 KB ま たは 16 KB に構成可能 - 受信ポ ス テ ッ ド ト ラ ン ザ ク シ ョ ン FIFO ° 8 KB、 16 KB ま たは 32 KB に構成可能 - 受信 コ ン プ リ ーシ ョ ン ト ラ ン ザ ク シ ョ ン FIFO ° ブ ロ ッ ク RAM の ECC 保護機能を使用 • 仮想チ ャ ネル x 1、 ト ラ フ ィ ッ ク ク ラ ス x 8 • マルチ フ ァ ン ク シ ョ ンおよ びシ ン グル ルー ト I/O 仮想化 (SR-IOV) をサポー ト ° 最大 4 つの Physical Function (PF) ° 最大 252 の Virtual Function (VF) • ビル ト イ ンの レーン反転お よ びレ シーバーの レーン間ス キ ュ ー調整 • 完全に コ ン フ ィ ギ ュ レーシ ョ ン可能な 3 x 64 ビ ッ ト ま たは 6 x 32 ビ ッ ト のベース ア ド レ ス レ ジ ス タ (BAR) ° 拡張 ROM BAR をサポー ト • 最大ペ イ ロ ー ド サ イ ズ: 128、 256、 512、 およ び 1024 バ イ ト • 次のすべての割 り 込み タ イ プ をサポー ト ° INTx ° 32 のマルチベ ク タ ー MSI 機能 ° オプシ ョ ンで使用 さ れ る 最大 2048 のベ ク タ ーを備えた MSI-X 機能、 ビル ト イ ン MSI-X ベ ク タ ー テーブル • ビル ト イ ン イ ニシエータ ー読み出 し リ ク エ ス ト /コ ンプ リ ーシ ョ ン タ グ マネージ ャ ー 最大 256 の未処理 イ ニシエータ ー読み出 し リ ク エ ス ト ト ラ ンザ ク シ ョ ン をサポー ト

(8)

• DRP ポー ト を サポー ト • 高性能アプ リ ケーシ ョ ン を可能にす る 機能 ° AXI4 ス ト リ ー ミ ン グ ト ラ ンザ ク シ ョ ン層パケ ッ ト (TLP) の リ ク エ ス タ ー コ ン プ リ ーシ ョ ン イ ン タ ーフ ェ イ スへの ス ト ラ ド ル ° 最大 256 の Rx コ ンプ リ ーシ ョ ン ヘ ッ ダー ク レ ジ ッ ト および 32 KB の Rx コ ンプ リ ーシ ョ ン ペイ ロー ド 空間 ° 受信デー タ パス におけ る ト ラ ンザ ク シ ョ ンの実行順序入れ替え をサポー ト ° ア ド レ ス変換サービ ス (ATS) の メ ッ セージ表示 ° ア ト ミ ッ ク 操作 ト ラ ンザ ク シ ョ ン をサポー ト ° TLP Processing Hints (TPH) • 使用が簡単で コ ン フ ィ ギ ュ レーシ ョ ン可能な機能をサポー ト ° 受信 ト ラ ンザ ク シ ョ ンの BAR および ID ベース のフ ィ ル タ リ ン グ ° オプシ ョ ン機能の ASPM ° コ ン フ ィ ギ ュ レーシ ョ ン拡張 イ ン タ ーフ ェ イ ス ° AXI4 Stream イ ン タ ーフ ェ イ ス ア ド レ ス ア ラ イ ン モー ド

° PCI Express (MCAP) を経由す る コ ン フ ィ ギ ュ レーシ ョ ンお よ び 100 ms の電源投入- コ ン フ ィ ギ ュ レーシ ョ ン

時間 (今後の IP リ リ ース でサポー ト 予定) ° デバ ッ グお よ び診断 イ ン タ ーフ ェ イ ス

ア プ リ ケーシ ョ ン

こ の コ アのアーキ テ ク チ ャ は、 性能、 コ ス ト 、 ス ケー ラ ビ リ テ ィ 、 機能の拡張性、 お よ び絶対的な信頼性を重視 し 、 コ ン ピ ュ ーテ ィ ン グや通信を タ ーゲ ッ ト と す る 多様な アプ リ ケーシ ョ ン を可能に し ます。 代表的な アプ リ ケーシ ョ ンは次の と お り です。 • デー タ 通信ネ ッ ト ワー ク • 電気通信ネ ッ ト ワー ク • ブ ロ ー ド バン ド アプ リ ケーシ ョ ン (有線/無線) • ネ ッ ト ワー ク イ ン タ ーフ ェ イ ス カー ド • チ ッ プ間お よ びバ ッ ク プ レーン イ ン タ ーフ ェ イ ス カー ド • 多様なアプ リ ケーシ ョ ンに対応す る ためのサーバー ア ド イ ン カー ド

サポー ト さ れていない機能

PCI Express Base 仕様 3.1 には数多 く のオプシ ョ ン機能があ り ます。 こ れ ら の う ちサポー ト さ れない一部機能を次に 示 し ます。

• ア ド レ ス変換サービ ス は実装 さ れませんが、 外部の ソ フ ト ロ ジ ッ ク に実装する こ と は可能です。 • ス イ ッ チ ポー ト

(9)

ラ イ セ ン スおよび注文情報

UltraScale+ Device Integrated Block for PCIe コ アは、ザ イ リ ン ク ス エン ド ユーザー ラ イ セン ス規約の も と Vivado Design Suite を使用 し て追加 コ ス ト な し で提供 さ れてい ます。 こ の IP お よ びその他のザ イ リ ン ク ス LogiCORE™ IP に 関す る 情報は、ザ イ リ ン ク ス IP コ アページか ら 入手で き ます。 その他のザ イ リ ン ク ス LogiCORE IP モジ ュールや

(10)

製品仕様

準拠する規格

UltraScale+ Device Integrated Block for PCIe ソ リ ュ ーシ ョ ンは、PCI Express® Card Electromechanical (CEM) v3.0 や PCI™ Industrial Computer Manufacturers Group (PICMG) v3.4 仕様 [参照 2] な ど の業界標準のアプ リ ケーシ ョ ン フ ォ ーム フ ァ

ク タ ー と 互換性があ り ます。

リ ソ ースの使用

リ ソ ース使用状況の詳細は、Performance and Resource Utilization ( ウ ェ ブ ページ) を ご覧 く だ さ い。

利用可能な PCI Express 用統合ブ ロ ッ ク

表 2-1 に、 サポー ト さ れ る デバ イ ス を示 し ます。表 2-2、表 2-3、 お よ び表 2-4 に、 複数の統合ブ ロ ッ ク を含んでい る デバ イ ス で利用可能な PCI Express 用統合ブ ロ ッ ク を一覧表示 し ています。 場合に よ っ ては、 統合ブ ロ ッ ク に隣接 し てボ ンデ ィ ン グ さ れた GTH および GTY ト ラ ン シーバー サ イ ト が不足する ため、 すべての統合ブ ロ ッ ク が使用可能 と は限 り ません。 表 2-1: サポー ト さ れるデバイ ス デバイ スの選択 GTH GTY PCIe FFVC1760 XCZU17EG 32 16 4 XCZU19EG 32 16 5 FFVE1924 XCZU17EG 44 4 XCZU19EG 44 5 FFVB1517 XCZU19EG 16 5 FFVE1517 XCKU11P 32 20 4 XCKU15P 32 24 5 FFVC1517 XCVU3P 40 2 FLVA2104 XCVU5P 52 4 XCVU7P 52 4 XCVU9P 52 6

(11)

FLVB2104 XCVU5P 76 4 XCVU7P 76 4 XCVU9P 76 6 FLVC2104 XCVU5P 80 4 XCVU7P 80 4 XCVU9P 104 6 FLVA2577 XVCU9P 120 6

表 2-2: 利用可能な PCI Express 用統合ブ ロ ッ ク - Virtex UltraScale+

デバイ スの選択 PCI Express ブ ロ ッ クの場所

デバイ ス パ ッ ケージ X0Y0 X0Y1 X0Y2 X0Y3 X0Y5 X1Y0 X1Y2 X1Y4

XCVU3P FFVC1517 可 可 XCVU5P FLVA2104 可 可 可 可 FLVB2104 可 可 可 可 FLVC2104 可 可 可 可 XCVU7P FLVA2104 可 可 可 可 FLVB2104 可 可 可 可 FLVC2104 可 可 可 可 XCVU9P FLGA2104 可 可 可 可 FLGB2104 可 可 可 可 FLGC2104 可 可 可 可 可 可 FLGA2577 可 可 可 可 可 可 FSGD2104 可 可 可 可 可 XCVU11P FLGA2577 可 可 可 FLGB2104 可 可 可 FLGC2104 可 可 可 FLGF1924 可 可 可 FSGD2104 可 可 可 XCVU13P FHGA2104 可 可 FHGB2014 可 可 可 FHGC2104 可 可 可 可 FLGA2577 可 可 可 可 FIGD2104 可 可 可 可 表 2-1: サポー ト さ れるデバイ ス (続き) デバイ スの選択 GTH GTY PCIe

(12)

表 2-3: 利用可能な PCI Express 用統合ブ ロ ッ ク - Zynq UltraScale+

デバイ スの選択 PCI Express ブ ロ ッ クの場所

デバイ ス パ ッ ケージ X0Y0 X0Y1 X0Y2 X0Y3 X1Y0 X1Y1 X1Y2

XCZU11EG FFVC1760 可 可 可 可 FFVB1517 可 可 FFVC1156 可 可 FFVF1517 可 可 XCZU17EG FFVC1760 可 可 可 可 可 FFVE1924 可 可 可 FFVB1517 可 可 可 FFVD1760 可 可 可 可 可 XCZU19EG FFVC1760 可 可 可 可 可 FFVE1924 可 可 可 FFVB1517 可 可 可 FFVD1760 可 可 可 可 可 XCZU4EV FBVB900 可 可 SFVC784 可 可 XCZU5EV FBVB900 可 可 SFVC784 可 可 XCZU7EV FBVB900 可 可 FFVC1156 可 可 FFVF1517 可 可

表 2-4: 利用可能な PCI Express 用統合ブ ロ ッ ク - Kintex UltraScale+

デバイ スの選択 PCI Express ブ ロ ッ クの場所

デバイ ス パ ッ ケージ X0Y0 X0Y2 X0Y3 X1Y0 X1Y1 X1Y2

XCKU11P FFVE1517 可 可 可 可 FFVA1156 可 可 可 可 FFVD900 可 可 XCKU15P FFVE1517 可 可 可 可 可 FFVA1156 可 可 可 可 可 FFVA1760 可 可 可 可 可 FFVE1760 可 可 可 可 可 XCKU3P FFVA676 可 FFVB676 可 FFVD900 可 SFVB784 可

(13)

GT のロ ケーシ ョ ン

有効なデバ イ ス と パ ッ ケージの組み合わせにおいて推奨 さ れ る GT の ロ ケーシ ョ ンは、付録 B 「GT の ロ ケーシ ョ ン」 を参照 し て く だ さ い。 パ ッ ケージ ピ ンは、 付録 B に示す GT の X-Y ロ ケーシ ョ ンか ら 直接得 ら れます。 表の内 容 と 一致す る 選択 し たデバ イ ス と パ ッ ケージの組み合わせに対 し て、 Vivado Design Suite が XDC を生成 し ます。 推奨 さ れ る GT ロ ケーシ ョ ンは、 次を参照 し て く だ さ い。 • 「Virtex UltraScale+ デバ イ ス の GT の ロ ケーシ ョ ン」 • 「Kintex UltraScale+ デバ イ ス の GT の ロ ケーシ ョ ン」 • 「Zynq UltraScale+ デバ イ ス の GT の ロ ケーシ ョ ン」

ポー ト の説明

こ のセ ク シ ョ ンでは、 次の イ ン タ ーフ ェ イ ス のポー ト について説明 し ます。 • 「AXI4-Stream コ ア イ ン タ ーフ ェ イ ス」 • 「その他の コ ア イ ン タ ーフ ェ イ ス」

AXI4-Stream コ ア イ ン タ ー フ ェ イ ス

64/128/256 ビ ッ ト イ ン タ ー フ ェ イ ス

ス テー タ ス イ ン タ ーフ ェ イ ス と 制御 イ ン タ ーフ ェ イ ス のほかに、 こ の コ アには ト ラ ンザ ク シ ョ ンの送信/受信に使用 す る AXI4-Stream イ ン タ ーフ ェ イ ス が 4 つ必要です。 こ のセ ク シ ョ ンでは、 こ れ ら の イ ン タ ーフ ェ イ ス について説明 し ます。 XCKU5P FFVA676 可 FFVB676 可 FFVD900 可 SFVB784 可 表 2-5: デバイ スの最低要件 可能な リ ン ク ス ピー ド 可能な リ ン ク幅 サポー ト さ れる ス ピー ド グレー ド Gen1/Gen2 x16 -1、 -2、 -3、 -1L、 -1LV、 -2L、 -2LV Gen3 x16 -1、 -2、 -3、 -1L、 -2L x8 NL -1、 -2、 -3、 -1L、 -1LV、 -2L、 -2LV x8 LL -2、 -3、-1L、-2L

表 2-4: 利用可能な PCI Express 用統合ブ ロ ッ ク - Kintex UltraScale+ (続き)

デバイ スの選択 PCI Express ブ ロ ッ クの場所

(14)

コ ン プ リ ー タ ー リ ク エス ト イ ン タ ー フ ェ イ ス

コ ンプ リ ー タ ー リ ク エ ス ト (CQ) イ ン タ ーフ ェ イ ス を介し て、 リ ン ク か ら のすべての リ ク エ ス ト がユーザー アプ リ ケーシ ョ ンに送信 さ れます。表 2-6 では、 コ アの CQ イ ン タ ーフ ェ イ ス のポー ト について説明 し ます。 「幅」 の列に あ る DW は、 設定し たデータ バス幅 (64、 128、 ま たは 256 ビ ッ ト ) を示 し ます。 表 2-6: コ ン プ リ ー タ ー リ ク エス ト イ ン タ ー フ ェ イ スのポー ト の説明 ポー ト 方向 幅 説明 m_axis_cq_tdata 出力 DW コ ンプ リ ー タ ー リ ク エ ス ト イ ン タ ーフ ェ イ ス (CQ) か ら の送信データ です。 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合は下位 128 ビ ッ ト のみ使用 さ れ、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合は下位 64 ビ ッ ト のみ使用 さ れます。 コ アは、 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合、 ビ ッ ト [255:128] を 0 に固 定 し 、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合、 ビ ッ ト [255:64] を 0 に固定 し ます。 m_axis_cq_tuser 出力 88 CQ のユーザー デー タ です。 こ の信号セ ッ ト には、 送信 さ れ る TLP の側帯波情報が含まれます。 こ れ ら の 信号は、 m_axis_cq_tvalid が High の と き に有効にな り ます。 こ のセ ッ ト の各信号については、15 ページの表 2-7 を参照 し て く だ さ い。 m_axis_cq_tlast 出力 1 CQ デー タ 用の TLAST を示す信号です。 コ アは、 パケ ッ ト の最後の ビー ト で こ の信号を アサー ト し て、 パケ ッ ト の最 後を示 し ます。 シ ン グル ビー ト で TLP が送信 さ れ る場合、 コ アは送信の最初 のビー ト で こ の信号を設定 し ます。 m_axis_cq_tkeep 出力 DW/32 CQ デー タ 用の TKEEP を示す信号です。 送信中に こ のバ ス のビ ッ ト i がアサー ト さ れ る と 、 m_axis_cq_tdata バス の Dword i に有効なデー タ が含ま れてい る こ と を ユーザー アプ リ ケーシ ョ ン に示 し ます。 コ アは、 デ ィ ス ク リ プ タ ーの最初の Dword か ら ペ イ ロ ー ド の最後の Dword ま ですべての Dword に対 し て、 こ の ビ ッ ト を継続的に 1 にセ ッ ト し ま す。 し たがっ て、 パケ ッ ト のすべての ビー ト で、 m_axis_cq_tdata が 1 にセ ッ ト さ れます。 ただ し 、 パケ ッ ト の合計サ イ ズがデー タ バス幅の倍数値でない 場合の最後のビー ト は例外です (いずれ も Dword 単位)。 こ れは、 ペイ ロ ー ド 送信が Dword ア ラ イ メ ン ト かつア ド レ ス ア ラ イ メ ン ト の場合に適用 さ れま す。 コ アは、 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合、 ビ ッ ト [7:4] を 0 に固定し 、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合、 ビ ッ ト [7:2] を 0 に固定 し ます。 m_axis_cq_tvalid 出力 1 CQ のデー タ が有効で あ る こ と を示 し ます。 m_axis_cq_tdata バ ス 上に有効なデー タ があ る 場合、 コ アは こ の出力を アサー ト し ます。 パケ ッ ト 送信中、 こ の Valid 信号はアサー ト さ れた状態を保持し ま す。 ユーザー アプ リ ケーシ ョ ンは、 m_axis_cq_tready 信号を使用し てデータ 送信を制御で き ます。 m_axis_cq_tready 入力 1 CQ のデー タ Ready 信号です。 ユーザー ロ ジ ッ ク で こ の信号を High にアサー ト する と 、 ユーザー アプ リ ケーシ ョ ンがデー タ を受信す る 準備が整っ てい る こ と を コ アに示 し ます。 同 じ サ イ ク ルで m_axis_cq_tvalid と m_axis_cq_tready が両方 と も アサー ト さ れ る と 、 イ ン タ ーフ ェ イ ス を介 し てデー タ が送信 さ れます。

m_axis_cq_tvalid が High の と き にユーザー アプ リ ケーシ ョ ン が Ready 信号を デ ィ アサー ト し た場合、 Ready 信号がアサー ト さ れ る ま で、 コ アはバス上の デー タ を保持 し 、 ま た Valid 信号を アサー ト し た状態で保持し ます。

(15)

表 2-7: m_axis_cq_tuser の側帯波信号の説明 ビ ッ ト イ ンデ ッ ク ス 名称 幅 説明 3:0 first_be[3:0] 4 ペ イ ロ ー ド の最初の Dword 用のバ イ ト イ ネーブル信号です。 こ の フ ィ ール ド は、 TLP の ト ラ ンザ ク シ ョ ン層ヘ ッ ダーの First_BE ビ ッ ト の設定を反 映 し てい ます。 メ モ リ 読み出 し お よ び I/O 読み出し の場合、 こ れ ら の 4 ビ ッ ト は最初 の Dword に読み出 さ れ る有効なバ イ ト を示 し ます。 メ モ リ 書き込みおよ び I/O 書き込 みの場合、 こ れ ら のビ ッ ト はペ イ ロ ー ド の最初の Dword にあ る有効なバ イ ト を示 し ま す。 ア ト ミ ッ ク 操作やペ イ ロ ー ド を含む メ ッ セージの場合、 こ れ ら のビ ッ ト はすべて 1 にセ ッ ト さ れ ます。 こ の フ ィ ール ド は、 パケ ッ ト の最初のビー ト で有効にな り ます。 つま り 、 sop と m_axis_cq_tvalid は両方 と も High にな り ます。 7:4 last_be[3:0] 4 最後の Dword 用のバ イ ト イ ネーブル信号です。 こ の フ ィ ール ド は、 TLP の ト ラ ンザ ク シ ョ ン層ヘ ッ ダーの Last_BE ビ ッ ト の設定を反 映 し てい ます。 メ モ リ 読み出 し の場合、 こ れ ら の 4 ビ ッ ト は、 データ ブ ロ ッ ク の最後 の Dword に読み出 さ れ る有効なバ イ ト を示 し ます。 メ モ リ 書き込みの場合、 こ れ ら の ビ ッ ト はペ イ ロ ー ド の最後の Dword にあ る有効なバ イ ト を示 し ます。 ア ト ミ ッ ク 操作 やペ イ ロ ー ド を含む メ ッ セージの場合、 こ れ ら のビ ッ ト はすべて 1 にセ ッ ト さ れま す。 こ の フ ィ ール ド は、 パケ ッ ト の最初のビー ト で有効にな り ます。 つま り 、 sop と m_axis_cq_tvalid は両方 と も High にな り ます。 39:8 byte_en[31:0] 32 ユーザー ロ ジ ッ ク は、 オプシ ョ ンで こ れ ら のバ イ ト イ ネーブル信号を使用 し て、 送 信 さ れ る パケ ッ ト のペ イ ロ ー ド 内で有効なバ イ ト を判断で き ます。 送信中に こ のバ ス のビ ッ ト i がアサー ト さ れ る と 、 m_axis_cq_tdata バス のバ イ ト i に有効なペ イ ロ ー ド バ イ ト が含まれてい る こ と を示 し ます。 こ のビ ッ ト は、 デ ィ ス ク リ プ タ ー バ イ ト に対 し て アサー ト さ れません。 バ イ ト イ ネーブル信号は、 リ ク エ ス ト デ ィ ス ク リ プ タ ー内の情報 (ア ド レ スお よび長 さ ) や first_be および last_be 信号の設定か ら ユーザー ロ ジ ッ ク がを生成で き ますが、 ほかの イ ン タ ーフ ェ イ ス信号か ら 生成す る 代わ り に、 直接使用す る こ と も 可能です。 ペ イ ロ ー ド サ イ ズが 2 Dword (8 バ イ ト ) よ り 大き い場合は、 ペ イ ロー ド 用の こ のバス 上の 1 ビ ッ ト は常に連続 し ます。 ペ イ ロ ー ド サ イ ズが 2 Dword ま たはそれ よ り 小 さ い 場合は、 1 ビ ッ ト は不連続にな る場合があ り ます。 特殊な例 と し て、 PCI Express の仕様で定義 さ れてい る長 さ 0 の メ モ リ 書き込み ト ラ ン ザ ク シ ョ ンでは、 関連す る 1 DW ペ イ ロ ー ド が送信 さ れ る間は byte_en ビ ッ ト がすべ て 0 と な り ます。 コ アは、 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合、 ビ ッ ト [31:16] を 0 に固定 し 、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合、 ビ ッ ト [31:8] を 0 に固定し ます。 40 sop 1 パケ ッ ト の開始を示 し ます。 コ アは、 パケ ッ ト の最初のビー ト で こ の信号を アサー ト し て、 パケ ッ ト の開始を示 し ます。 こ の信号の使用はオプシ ョ ン です。 41 discontinue 1 内部 FIFO メ モ リ か ら TLP ペ イ ロ ー ド を読み出 し てい る間に訂正不可能なエ ラ ーを検 出 し た場合に、 コ アは TLP の最後のビー ト で こ の信号を アサー ト し ます。 こ の よ う な エ ラ ーが コ アに よ っ て検出 さ れた場合、 ユーザー アプ リ ケーシ ョ ンはすべての TLP を破棄す る 必要があ り ます。 TLP にペ イ ロ ー ド がない場合に、 こ の信号がアサー ト さ れ る こ と はあ り ません。 m_axis_cq_tlast が High にな っ てい る サ イ ク ルでのみアサー ト さ れ ます。 コ アがエン ド ポ イ ン ト と し て コ ン フ ィ ギ ュ レーシ ョ ン さ れてい る 場合、 Advanced Error Reporting (AER) 機能を使用す る こ と で、 コ アが接続 さ れてい る ルー ト コ ン プ レ ッ ク ス に対 し てエ ラ ーが レ ポー ト さ れます。

(16)

コ ン プ リ ー タ ー コ ン プ リ ーシ ョ ン イ ン タ ー フ ェ イ ス

コ ンプ リ ー タ ー コ ンプ リ ーシ ョ ン (CC) イ ン タ ーフ ェ イ ス を介 し て、 ユーザー アプ リ ケーシ ョ ンが生成 し た コ ンプ リ ーシ ョ ンが コ ンプ リ ー タ ー リ ク エ ス ト に対応 し て送信 さ れます。 すべての ノ ン ポ ス テ ッ ド ト ラ ンザ ク シ ョ ン を ス プ リ ッ ト ト ラ ンザ ク シ ョ ン と し て処理で き ます。 つま り 、 CC イ ン タ ーフ ェ イ スは 1 つの リ ク エ ス ト に対 し て コ ンプ リ ーシ ョ ン を送信 し なが ら 、 リ ク エ ス タ ー コ ンプ リ ーシ ョ ン イ ン タ ーフ ェ イ ス で新 し い リ ク エ ス ト を連続 し て受信 で き ます。表 2-8 では、 コ アの CC イ ン タ ーフ ェ イ ス のポー ト について説明 し ます。 「幅」 の列にあ る DW は、 設定 し たデー タ バス幅 (64、 128、 ま たは 256 ビ ッ ト ) を示し ます。 42 tph_present 1 こ のビ ッ ト は、 イ ン タ ーフ ェ イ ス を介 し て送信 さ れ る リ ク エ ス ト TLP の中に Transaction Processing Hint (TPH) があ る こ と を示 し ます。 こ の ビ ッ ト は、 sop と m_axis_cq_tvalid の両方が High の と き に有効にな り ます。 44:43 tph_type[1:0] 2 TPH が リ ク エ ス ト TLP 内にあ る 場合、 こ れ ら の 2 ビ ッ ト が ヒ ン ト と 関連す る PH[1:0] フ ィ ール ド の値を提供 し ます。 こ れ ら のビ ッ ト は、 sop と m_axis_cq_tvalid の両方が High の と き に有効にな り ます。 52:45 tph_st_tag[7:0] 8 TPH が リ ク エ ス ト TLP 内にあ る 場合、 こ の出力が ヒ ン ト と 関連す る 8 ビ ッ ト の ス テ ア リ ン グ タ グ を提供し ます。 こ れ ら のビ ッ ト は、 sop と m_axis_cq_tvalid の両方が High の と き に有効にな り ます。 84:53 parity 32 256 ビ ッ ト の送信デー タ 用の奇数パ リ テ ィ です。 ビ ッ ト i は、 m_axis_cq_tdata のバ イ ト i について計算 さ れた奇数パ リ テ ィ を提供 し ま す。 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合は下位 16 ビ ッ ト のみ使用 さ れ、 イ ン タ ー フ ェ イ ス幅が 64 ビ ッ ト の場合は下位 8 ビ ッ ト のみ使用 さ れます。 コ アは、 イ ン タ ー フ ェ イ ス幅が 128 ビ ッ ト の場合、 ビ ッ ト [31:16] を 0 に固定 し 、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合、 ビ ッ ト [31:8] を 0 に固定 し ます。 表 2-7: m_axis_cq_tuser の側帯波信号の説明 (続き) ビ ッ ト イ ンデ ッ ク ス 名称 幅 説明 表 2-8: コ ン プ リ ー タ ー コ ン プ リ ーシ ョ ン イ ン タ ー フ ェ イ スのポー ト の説明 ポー ト 方向 幅 説明 s_axis_cc_tdata 入力 DW コ ンプ リ ー タ ー コ ンプ リ ーシ ョ ンのデータ バス です。 ユーザー アプ リ ケーシ ョ ンか ら コ アへ送信 さ れ る コ ンプ リ ーシ ョ ン データ です。 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合は下位 128 ビ ッ ト のみ使用 さ れ、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合は下位 64 ビ ッ ト のみ使用 さ れます。 s_axis_cc_tuser 入力 33 コ ンプ リ ー タ ー コ ンプ リ ーシ ョ ンのユーザー データ です。 こ の信号セ ッ ト には、 送信 さ れ る TLP の側帯波情報が含まれます。 こ れ ら の信号は、 s_axis_cc_tvalid が High の と き に有効にな り ます。 こ のセ ッ ト の各信号については、17 ページの表 2-9 を参照 し て く だ さ い。 s_axis_cc_tlast 入力 1 コ ンプ リ ー タ ー コ ンプ リ ーシ ョ ン データ 用の TLAST を示す信号です。 ユーザー アプ リ ケーシ ョ ンは、 パケ ッ ト の最後を示すためにパケ ッ ト の最後のサ イ ク ルで こ の信号を アサー ト す る 必要があ り ます。 シ ン グル ビー ト で TLP が送信 さ れ る 場合、 ユーザー アプ リ ケーシ ョ ンは送信の最初のサ イ ク ルで こ の信号を設定する 必要があ り ます。

(17)

s_axis_cc_tkeep 入力 DW/32

コ ンプ リ ー タ ー コ ンプ リ ーシ ョ ン データ 用の TKEEP を示す信号です。

送信中に こ のバス のビ ッ ト i がアサー ト さ れ る と 、 s_axis_cc_tdata バス の Dword i に 有効なデー タ が含まれてい る こ と を コ アに示 し ます。 デ ィ ス ク リ プ タ ーの最初の Dword か ら ペ イ ロ ー ド の最後の Dword ま ですべての Dword に対 し て、 こ の ビ ッ ト を 継続的に 1 にセ ッ ト し ます。 し たがっ て、 パケ ッ ト のすべてのビー ト で、 s_axis_cc_tdata が 1 にセ ッ ト さ れ ます。 ただ し 、 パケ ッ ト の合計サ イ ズがデー タ バス 幅の倍数値でない場合の最後のビー ト は例外です (いずれ も Dword 単位)。 こ れは、 ペ イ ロ ー ド 送信が Dword ア ラ イ メ ン ト かつア ド レ ス ア ラ イ メ ン ト の場合に適用 さ れ ます。 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合、 ビ ッ ト [7:4] は コ アで使用 さ れず、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合、 ビ ッ ト [7:2] は コ アで使用 さ れません。 s_axis_cc_tvalid 入力 1 コ ンプ リ ー タ ー コ ンプ リ ーシ ョ ン データ の Valid 信号です。 s_axis_cc_tdata バ ス 上に有効なデー タ があ る 場合、 ユーザー アプ リ ケーシ ョ ンは こ の 出力を アサー ト す る 必要があ り ます。 パケ ッ ト 送信中、 こ の Valid 信号を アサー ト し た状態で保持す る 必要があ り ます。 コ アは、 s_axis_cc_tready 信号を使用 し てデータ 送信を制御で き ます。 s_axis_cc_tready 出力 4 コ ンプ リ ー タ ー コ ンプ リ ーシ ョ ン データ の Ready 信号です。 コ アが こ の信号を アサー ト す る と 、 デー タ の受信準備が整っ てい る こ と を示 し ます。 同 じ サ イ ク ルで s_axis_cc_tvalid と s_axis_cc_tready が両方 と も アサー ト さ れ る と 、 イ ン タ ーフ ェ イ ス を介 し てデー タ が送信 さ れます。

Valid 信号が High の と き に コ アが Ready 信号をデ ィ アサー ト し た場合、 Ready 信号が アサー ト さ れ る ま で、 ユーザー アプ リ ケーシ ョ ンはバス上のデータ を保持し 、 Valid 信号を アサー ト し た状態で保持す る 必要があ り ます。 表 2-8: コ ン プ リ ー タ ー コ ン プ リ ーシ ョ ン イ ン タ ー フ ェ イ スのポー ト の説明 (続き) ポー ト 方向 幅 説明 表 2-9: s_axis_cc_tuser の側帯波信号の説明 ビ ッ ト イ ンデ ッ ク ス 名称 幅 説明 0 discontinue 1 送信 さ れてい る デー タ 内にエ ラ ー ( メ モ リ か ら ペ イ ロ ー ド を読み出 し てい る間に訂正不 可能な ECC エ ラ ーな ど) を検出 し た場合、 ユーザー アプ リ ケーシ ョ ンは こ の信号を ア サー ト し てパケ ッ ト の送信を中断す る 必要があ り ます。 コ アは、 リ ン ク 上の対応する TLP を無効に し てデー タ 破損を回避 し ます。 ユーザー アプ リ ケーシ ョ ンは、 送信中の任意のサ イ ク ルで こ の信号を アサー ト で き ま す。 エ ラ ーが示 さ れた場所 よ り 前のサ イ ク ルでパケ ッ ト を中断、 ま たはペ イ ロ ー ド のす べてのバ イ ト が コ アへ送信 さ れ る ま で継続で き ます。 後者の場合、 ユーザー アプ リ ケーシ ョ ンがパケ ッ ト の終了 よ り 前に discontinue 信号をデ ィ アサー ト し て も 、 パケ ッ ト のその後のサ イ ク ルでは コ アは こ のエ ラ ーを ス テ ィ ッ キー エ ラ ー と し て処理 し ます。 discontinue 信号は、 s_axis_cc_tvalid が High の場合のみアサー ト さ れ ます。 コ アは、 s_axis_cc_tready 信号が High の場合のみ こ の信号を サン プル し ます。 し たが っ て、 こ の 信号がアサー ト さ れた場合は、 s_axis_cc_tready が High にな る ま でデ ィ アサー ト し ては いけ ません。 コ アがエン ド ポ イ ン ト と し て コ ン フ ィ ギ ュ レーシ ョ ン さ れてい る 場合、 AER 機能を使 用す る こ と で、 コ アが接続 さ れてい る ルー ト コ ンプ レ ッ ク ス に対 し てエ ラ ーが レ ポー ト さ れます。

(18)

リ ク エ ス タ ー リ ク エス ト イ ン タ ー フ ェ イ ス

リ ク エ ス タ ー リ ク エ ス ト (RQ) イ ン タ ーフ ェ イ ス のポー ト を介し て、 ユーザー アプ リ ケーシ ョ ンが リ モー ト の PCIe® デバ イ スへ リ ク エ ス ト を生成 し ます。表 2-10 では、 コ アの RQ イ ン タ ーフ ェ イ ス のポー ト について説明 し ま す。 「幅」 の列にあ る DW は、 設定 し たデータ バス幅 (64、 128、 ま たは 256 ビ ッ ト ) を示 し ます。 32:1 parity 32 256 ビ ッ ト デー タ 用の奇数パ リ テ ィ です。 コ アでパ リ テ ィ チ ェ ッ ク が有効の場合、 ユーザー ロ ジ ッ ク は こ のバス のビ ッ ト i を s_axis_cc_tdata のバ イ ト i について計算 さ れた奇数パ リ テ ィ に設定す る 必要があ り ます。 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合は下位 16 ビ ッ ト のみ使用 さ れ、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合は下位 8 ビ ッ ト のみ使用 さ れます。 イ ン タ ーフ ェ イ ス パ リ テ ィ エ ラ ーが検出 さ れた場合、 こ れは訂正不可能な内部エ ラ ー と し て記録 さ れ、 そのパケ ッ ト は破棄 さ れます。 PCI Express Base Specification (6.2.9) に は、 次の よ う に記述 さ れてい ます。 「訂正不可能な内部エ ラ ー と は、 コ ン ポーネ ン ト 内 に生 じ る エ ラ ーであ り 、 コ ン ポーネ ン ト の不正動作を招 き ます。 訂正不可能な内部エ ラ ーか ら 回復す る 唯一の方法は、 リ セ ッ ト ま たはハー ド ウ ェ アの交換 と な り ます。」 コ アでパ リ テ ィ チ ェ ッ ク が有効に設定 さ れていない場合は、 パ リ テ ィ ビ ッ ト が永続的 に 0 にな り ます。 表 2-9: s_axis_cc_tuser の側帯波信号の説明 (続き) ビ ッ ト イ ンデ ッ ク ス 名称 幅 説明 表 2-10: リ ク エス タ ー リ ク エス ト イ ン タ ー フ ェ イ スのポー ト の説明 ポー ト 方向 幅 説明 s_axis_rq_tdata 入力 DW リ ク エ ス タ ー リ ク エ ス ト のデー タ バス です。 こ の入力には、 ユーザー アプ リ ケーシ ョ ンか ら コ アへの リ ク エ ス タ ー側の リ ク エ ス ト デー タ が含まれます。 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合 は下位 128 ビ ッ ト のみ使用 さ れ、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合 は下位 64 ビ ッ ト のみ使用 さ れます。 s_axis_rq_tuser 入力 62 リ ク エ ス タ ー リ ク エ ス ト のユーザー データ です。 こ の信号セ ッ ト には、 送信 さ れ る TLP の側帯波情報が含まれます。 こ れ ら の信号は、 s_axis_rq_tvalid が High の と き に有効にな り ます。 こ のセ ッ ト の各信号については、20 ページの表 2-11 を参照 し て く だ さ い。 s_axis_rq_tlast 入力 1 リ ク エ ス タ ー リ ク エ ス ト データ 用の TLAST を示す信号です。 ユーザー アプ リ ケーシ ョ ンは、 パケ ッ ト の最後を示すために TLP の最後 のサ イ ク ルで こ の信号を アサー ト す る 必要があ り ます。シ ン グル ビー ト で TLP が送信 さ れ る 場合、 ユーザー アプ リ ケーシ ョ ンは送信の最初のサ イ ク ルで こ の信号を設定す る 必要があ り ます。 s_axis_rq_tkeep 入力 DW/32 リ ク エ ス タ ー リ ク エ ス ト データ 用の TKEEP を示す信号です。 送信中に こ のバス のビ ッ ト i がアサー ト さ れ る と 、 s_axis_rq_tdata バス の Dword i に有効なデー タ が含ま れてい る こ と を コ アに示 し ます。 ユーザー アプ リ ケーシ ョ ンは、 デ ィ ス ク リ プ タ ーの最初の Dword か ら ペイ ロ ー ド の 最後の Dword ま ですべての Dword に対し て、 こ のビ ッ ト を継続的に 1 に セ ッ ト す る 必要があ り ます。 し たがっ て、 パケ ッ ト のすべてのビー ト で、 s_axis_rq_tdata が 1 にセ ッ ト さ れ ます。 ただ し 、 パケ ッ ト の合計サ イ ズが デー タ バス幅の倍数値でない場合の最後のビー ト は例外です (いずれ も Dword 単位)。 こ れは、 ペ イ ロ ー ド 送信が Dword ア ラ イ メ ン ト かつア ド レ ス ア ラ イ メ ン ト の場合に適用 さ れます。 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合、 ビ ッ ト [7:4] は コ アで使用 さ れ ず、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合、 ビ ッ ト [7:2] は コ アで使用 さ れません。

(19)

s_axis_rq_tvalid 入力 1 リ ク エ ス タ ー リ ク エ ス ト データ の Valid 信号です。 s_axis_rq_tdata バ ス 上に有効なデー タ があ る 場合、 ユーザー アプ リ ケー シ ョ ンは こ の出力を アサー ト す る 必要があ り ます。 パケ ッ ト 送信中、 こ の Valid 信号を アサー ト し た状態で保持す る 必要があ り ます。 コ アは、 s_axis_rq_tready 信号を使用 し てデー タ 送信を制御で き ます。 s_axis_rq_tready 出力 4 リ ク エス ター リ ク エス ト データ の Ready 信号です。 コ アが こ の信号を アサー ト する と 、 データ の受信準備が整ってい る こ と を 示し ます。 同じ サ イ ク ルで s_axis_rq_tvalid と s_axis_rq_tready が両方 と も ア サー ト さ れる と 、 イ ン ターフ ェ イ ス を介し てデータ が送信 さ れます。 Valid 信号が High の と き に コ アが Ready 信号をデ ィ アサー ト し た場合、 Ready 信号がアサー ト さ れ る ま で、 ユーザー アプ リ ケーシ ョ ンはバス上の データ を保持し、 Valid 信号をアサー ト し た状態で保持する必要があ り ます。 ユーザーは、4 つすべてのビ ッ ト を 1 ま たは 0 に割 り 当て る こ と がで き ます。 pcie_rq_seq_num0 出力 6 リ ク エ ス タ ー リ ク エ ス ト TLP の送信シーケ ン ス番号を示し ます。 オプシ ョ ンで こ の出力を使用す る と 、 コ アの送信パ イ プ ラ イ ン内におけ る リ ク エ ス ト の進捗状況を追跡で き ます。 こ の機能を使用す る 場合は、 seq_num[3:0] バ ス の各 リ ク エ ス ト に対 し て シーケ ン ス 番号を与え ます。 ユーザー アプ リ ケーシ ョ ンか ら コ ンプ リ ーシ ョ ン TLP を送信で き な く な る パ イ プ ラ イ ン内の地点に リ ク エ ス ト TLP が到達する と 、 コ アは こ のシー ケ ン ス番号を pcie_rq_seq_num0[3:0] 出力に出力 し ます。 こ の メ カ ニズ ムに よ っ て、 コ アの CC イ ン タ ーフ ェ イ スへ送信 さ れ る コ ンプ リ ーシ ョ ン と リ ク エ ス タ ー リ ク エ ス ト イ ン タ ーフ ェ イ ス に送信 さ れ る ポ ス テ ッ ド リ ク エ ス ト の順序を管理で き ます。 Pcie_rq_seq_num0[3:0]0[3:0] 出力のデータ は、 pcie_rq_seq_num_vld0 が High の場合に有効にな り ます。 pcie_rq_seq_num_vld0 出力 1 リ ク エ ス タ ー リ ク エ ス ト TLP の送信シーケ ン ス番号の Valid 信号です。 pcie_rq_seq_num0[3:0] に有効なデー タ が示 さ れ る と 、 コ アは こ の出力を 1 サ イ ク ル間アサー ト し ます。 pcie_rq_tag0 出力 8 リ ク エ ス タ ー リ ク エ ス ト の ノ ンポ ス テ ッ ド タ グです。 コ アで ノ ン ポ ス テ ッ ド リ ク エ ス ト の タ グ管理が実行 さ れ る場合、 コ アは こ の出力を使用 し 、 受信 し た各 ノ ン ポ ス テ ッ ド リ ク エ ス ト に対し て割 り 当て ら れた タ グ を伝え ます。 こ のバ ス の タ グ値は、 pcie_rq_tag_vld0 が High に な る 1 サ イ ク ル間有効です。 ユーザーは、 こ の タ グ を コ ピー し て使用 し 、 コ ンプ リ ーシ ョ ン デー タ と ペンデ ィ ン グ リ ク エ ス ト を結び付け る こ と が で き ます。 リ ク エ ス ト に対 し て割 り 当て ら れた タ グ を与え る ため、 s_axis_rq_tdata バ ス上に リ ク エ ス ト が送信 さ れてか ら コ アが pcie_rq_tag_vld0 を アサー ト す る ま での間には数サ イ ク ルの遅延が生 じ ます。 こ の間、 ユーザー アプ リ ケーシ ョ ンは新 し い リ ク エ ス ト を継続 し て送信で き ます。 各 リ ク エ ス ト の タ グは、 こ のバス を使用 し て FIFO 順序で伝達 さ れ る ため、 ユーザー アプ リ ケーシ ョ ンは送信 さ れた リ ク エ ス ト と タ グの値を簡単に関連付け る こ と がで き ます。 pcie_rq_tag_vld0 出力 1 リ ク エ ス タ ー リ ク エ ス ト の ノ ンポ ス テ ッ ド タ グの Valid 信号です。 リ ク エ ス タ ー リ ク エ ス ト イ ン タ ーフ ェ イ ス か ら 入力 さ れ る ノ ン ポ ス テ ッ ド リ ク エ ス ト に タ グ を割 り 当てて、 pcie_rq_tag0 出力にそれが示 さ れ る と 、 コ アは こ の出力を 1 サ イ ク ル間アサー ト し ます。 表 2-10: リ ク エス タ ー リ ク エス ト イ ン タ ー フ ェ イ スのポー ト の説明 (続き) ポー ト 方向 幅 説明

(20)

表 2-11: s_axis_rq_tuser の側帯波信号の説明 ビ ッ ト イ ンデ ッ ク ス 名称 幅 説明 3:0 first_be[3:0] 4 最初の Dword 用のバ イ ト イ ネーブル信号です。 こ の フ ィ ール ド は、 リ ク エ ス ト TLP の ト ラ ンザ ク シ ョ ン層ヘ ッ ダーの First_BE ビ ッ ト の設定に基づいて設定 さ れます。 メ モ リ 読み出 し 、 I/O 読み出 し 、 お よび コ ン フ ィ ギ ュ レーシ ョ ン読み出 し の場合、 こ れ ら の 4 ビ ッ ト は最初の Dword に 読み出 さ れ る 有効なバ イ ト を示 し ます。 メ モ リ 書 き 込み、 I/O 書き込み、 お よび コ ン フ ィ ギ ュ レーシ ョ ン書 き 込みの場合、 こ れ ら のビ ッ ト はペ イ ロ ー ド の最初 の Dword にあ る有効なバ イ ト を示 し ます。

s_axis_rq_tvalid と s_axis_rq_tready が両方 と も High にな る と 、 コ アはパケ ッ ト の 最初のサ イ ク ルで こ の フ ィ ール ド をサンプル し ます。 7:4 last_be[3:0] 4 最後の Dword 用のバ イ ト イ ネーブル信号です。 こ の フ ィ ール ド は、 TLP の ト ラ ンザ ク シ ョ ン層ヘ ッ ダーの Last_BE ビ ッ ト の設 定に基づいて設定 さ れます。 2 Dword ま たはそれ以上の メ モ リ 読み出 し の場合、 こ れ ら の 4 ビ ッ ト は、 データ ブ ロ ッ ク の最後の Dword に読み出 さ れ る有効なバ イ ト を示 し ます。 2 Dword ま たはそれ以上の メ モ リ 書き込みの場合、 こ れ ら の ビ ッ ト はペ イ ロ ー ド の最後の Dword にあ る有効なバ イ ト を示 し ます。

s_axis_rq_tvalid と s_axis_rq_tready が両方 と も High にな る と 、 コ アはパケ ッ ト の 最初のサ イ ク ルで こ の フ ィ ール ド をサンプル し ます。 10:8 addr_offset[2:0] 3 こ の イ ン ターフ ェ イ スでア ド レ ス ア ラ イ メ ン ト モー ド を利用し ている場合、 ユー ザー アプ リ ケーシ ョ ンはペイ ロー ド データ が開始するデータ バス上のバイ ト レーン番号を提供する必要があ り ます (こ の側帯波バスのモジ ュ ロ 4)。 こ れに よ って、 コ アは送信 さ れるデータ ブ ロ ッ ク のア ラ イ メ ン ト を判断で き ます。 s_axis_rq_tvalid と s_axis_rq_tready が両方 と も High にな る と 、 コ アはパケ ッ ト の 最初のサ イ ク ルで こ の フ ィ ール ド をサンプル し ます。 リ ク エ ス タ ー リ ク エ ス ト イ ン タ ーフ ェ イ ス が Dword ア ラ イ メ ン ト モー ド で コ ン フ ィ ギ ュ レーシ ョ ン さ れてい る 場合、 こ の フ ィ ール ド は常に 0 にセ ッ ト さ れ てい る 必要があ り ます。 ルー ト ポー ト コ ン フ ィ ギ ュ レーシ ョ ンでは、 コ ン フ ィ ギ ュ レーシ ョ ン パケ ッ ト は常に DW0 にア ラ イ ン さ れてなければな ら ないため、 こ のパケ ッ ト タ イ プに 対 し ては、 こ の フ ィ ール ド を ど ち ら のア ラ イ メ ン ト モー ド で も 0 にセ ッ ト する 必要があ り ます。 11 discontinue 1 送信 さ れてい る デー タ 内にエ ラ ーを検出 し た場合、 ユーザー アプ リ ケーシ ョ ン は送信中に こ の信号を アサー ト し てパケ ッ ト 送信を中断す る 必要があ り ます。 コ アは、 リ ン ク 上の対応す る TLP を無効に し てデー タ破損を回避し ます。 送信中の任意のサ イ ク ルで こ の信号を アサー ト で き ます。 エ ラ ーが示 さ れた場所 よ り 前のサ イ ク ルでパケ ッ ト を中断、 ま たはペ イ ロ ー ド のすべてのバ イ ト が コ ア へ送信 さ れ る ま で継続で き ます。 後者の場合、 ユーザー アプ リ ケーシ ョ ンがパ ケ ッ ト の終了よ り 前に discontinue 信号をデ ィ アサー ト し て も 、 パケ ッ ト のその後 のサ イ ク ルでは コ アは こ のエ ラ ーを ス テ ィ ッ キー エ ラ ー と し て処理し ます。 discontinue 信号は、 s_axis_rq_tvalid が High の場合のみアサー ト さ れ ます。 コ ア は、 s_axis_rq_tready 信号が High の場合のみ こ の信号をサンプル し ます。 し た がっ て、 こ の信号がアサー ト さ れた場合は、 s_axis_rq_tready が High にな る ま で デ ィ アサー ト し てはいけ ません。 discontinue 信号は、 ノ ンポ ス テ ッ ド TLP に対 し ては有効ではあ り ません。 送信中、 ユーザー ロ ジ ッ ク は、 最初のサ イ ク ル以 外の任意のサ イ ク ルで こ の信号を アサー ト で き ます。 コ アがエン ド ポ イ ン ト と し て コ ン フ ィ ギ ュ レーシ ョ ン さ れてい る 場合、

Advanced Error Reporting (AER) を使用す る こ と で、コ アが接続 さ れてい る ルー ト コ ンプ レ ッ ク ス に対 し てエ ラ ーが レ ポー ト さ れます。

(21)

12 tph_present 1

こ のビ ッ ト は、 イ ン タ ーフ ェ イ ス を介 し て送信 さ れ る リ ク エ ス ト TLP の中に Transaction Processing Hint (TPH) があ る こ と を示 し ます。 s_axis_rq_tvalid と s_axis_rq_tready が両方 と も High にな る と 、 コ アはパケ ッ ト の最初のサ イ ク ルで こ の フ ィ ール ド をサンプル し ます。 TPH 機能を使用し ない場合、 こ のビ ッ ト は常に 0 にセ ッ ト さ れる必要があ り ます。 14:13 tph_type[1:0] 2 TPH が リ ク エ ス ト TLP 内にあ る 場合、 こ れ ら の 2 ビ ッ ト が ヒ ン ト と 関連す る PH[1:0] フ ィ ール ド の値を提供 し ます。 s_axis_rq_tvalid と s_axis_rq_tready が両方 と も High にな る と 、 コ アはパケ ッ ト の最初のサ イ ク ルで こ のフ ィ ール ド をサン プル し ます。 tph_present が 0 にセ ッ ト さ れてい る 場合、 こ れ ら の ビ ッ ト は任意の値に設定で き ます。 15 tph_indirect_tag_en 1 こ のビ ッ ト が 1 の場合、 コ アはス テア リ ン グ タ グ テーブルへの イ ンデ ッ ク ス と し て tph_st_tag[7:0] の下位ビ ッ ト を使用 し 、 送信 さ れ る リ ク エ ス ト TLP に こ の ロ ケーシ ョ ンか ら の タ グ を挿入 し ます。 こ のビ ッ ト が 0 の場合、 コ アはス テア リ ン グ タ グ と し て tph_st_tag[7:0] の値を直 接使用 し ます。

s_axis_rq_tvalid と s_axis_rq_tready が両方 と も High にな る と 、 コ アはパケ ッ ト の 最初のサ イ ク ルで こ のビ ッ ト をサンプル し ます。 tph_present が 0 にセ ッ ト さ れてい る場合、 こ のビ ッ ト は任意の値に設定で き ます。 23:16 tph_st_tag[7:0] 8 TPH が リ ク エ ス ト TLP 内にあ る 場合、 こ の出力が ヒ ン ト と 関連す る 8 ビ ッ ト の ス テ ア リ ン グ タ グ を提供し ます。 s_axis_rq_tvalid と s_axis_rq_tready が両方 と も High にな る と 、 コ アはパケ ッ ト の最初のサ イ ク ルで こ の フ ィ ール ド を サ ン プル し ます。 tph_present が 0 にセ ッ ト さ れてい る 場合、 こ れ ら の ビ ッ ト は任意の値に設定で き ます。 27:24 seq_num[3:0] 4 オプシ ョ ン で、 こ の フ ィ ール ド に 4 ビ ッ ト のシーケ ン ス番号を提供する と 、 コ アの送信パ イ プ ラ イ ン内におけ る リ ク エ ス ト の進捗状況を追跡で き ます。 コ ン プ リ ーシ ョ ン TLP を渡す こ と がで き な く な る パ イ プ ラ イ ン内の地点に リ ク エ ス ト TLP が到達する と 、 コ アは こ のシーケ ン ス番号を pcie_rq_seq_num[3:0] 出力に 出力 し ます。

s_axis_rq_tvalid と s_axis_rq_tready が両方 と も High にな る と 、 コ アはパケ ッ ト の 最初のサ イ ク ルで こ の フ ィ ール ド をサンプル し ます。 ユーザー アプ リ ケーシ ョ ンが、 コ アの pcie_rq_seq_num[3:0] 出力をモニ タ リ ン グ し ていない場合には、 こ の入力を 0 にハー ド 接続で き ます。 59:28 parity 32 256 ビ ッ ト デー タ 用の奇数パ リ テ ィ です。 コ アでパ リ テ ィ チ ェ ッ ク が有効の場合、 ユーザー ロ ジ ッ ク は こ のバス のビ ッ ト i を s_axis_rq_tdata のバ イ ト i について計算 さ れた奇数パ リ テ ィ に設定す る 必要 があ り ます。 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合は下位 16 ビ ッ ト のみ使用 さ れ、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合は下位 8 ビ ッ ト のみ使用 さ れま す。 イ ン タ ーフ ェ イ ス パ リ テ ィ エ ラ ーが検出 さ れた場合、 こ れは訂正不可能な内部 エ ラ ー と し て記録 さ れ、 そのパケ ッ ト は破棄 さ れます。 PCI Express Base Specification (6.2.9) には、 次の よ う に記述 さ れてい ます。 「訂正不可能な内部エ ラ ー と は、 コ ン ポーネ ン ト 内に生 じ る エ ラ ーであ り 、 コ ン ポーネ ン ト の不正動 作を招 き ます。 訂正不可能な内部エ ラ ーか ら 回復す る 唯一の方法は、 リ セ ッ ト ま たはハー ド ウ ェ アの交換 と な り ます。」 コ アでパ リ テ ィ チ ェ ッ ク が有効に設定 さ れていない場合は、 パ リ テ ィ ビ ッ ト が 永続的に 0 にな り ます。 表 2-11: s_axis_rq_tuser の側帯波信号の説明 (続き) ビ ッ ト イ ンデ ッ ク ス 名称 幅 説明

(22)

リ ク エ ス タ ー コ ン プ リ ーシ ョ ン イ ン タ ー フ ェ イ ス

リ ク エ ス タ ー コ ンプ リ ーシ ョ ン (RC) イ ン タ ーフ ェ イ ス を介 し て、 リ ク エ ス ト に対応 し て リ ン ク か ら 受け取っ た コ ン プ リ ーシ ョ ンがユーザー アプ リ ケーシ ョ ンに送信 さ れます。表 2-12 では、 コ アの RC イ ン タ ーフ ェ イ ス のポー ト に ついて説明 し ます。 「幅」 の列にあ る DW は、 設定 し たデータ バス幅 (64、 128、 ま たは 256 ビ ッ ト ) を示 し ます。 表 2-12: リ ク エス タ ー コ ン プ リ ーシ ョ ン イ ン タ ー フ ェ イ スのポー ト の説明 ポー ト 方向 幅 説明 m_axis_rc_tdata 出力 DW リ ク エ ス タ ー コ ンプ リ ーシ ョ ンのデータ バス です。 コ アの リ ク エ ス タ ー コ ンプ リ ーシ ョ ン イ ン タ ーフ ェ イ ス か ら ユーザー アプ リ ケーシ ョ ンへデー タ を送信 し ます。 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合は下 位 128 ビ ッ ト のみ使用 さ れ、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合は下位 64 ビ ッ ト のみ使用 さ れます。 コ アは、 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合、 ビ ッ ト [255:128] を 0 に固定 し 、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合、 ビ ッ ト [255:64] を 0 に固定し ます。 m_axis_rc_tuser 出力 75 リ ク エ ス タ ー コ ンプ リ ーシ ョ ンのユーザー データ です。 こ の信号セ ッ ト には、 送信 さ れ る TLP の側帯波情報が含まれます。 こ れ ら の信号 は、 m_axis_rc_tvalid が High の と き に有効にな り ます。 こ のセ ッ ト の各信号については、23 ページの表 2-13 を参照 し て く だ さ い。 m_axis_rc_tlast 出力 1 リ ク エ ス タ ー コ ンプ リ ーシ ョ ン データ 用の TLAST を示す信号です。 コ アは、 パケ ッ ト の最後のビー ト で こ の信号を アサー ト し て、 パケ ッ ト の最後を 示 し ます。 シ ン グル ビー ト で TLP が送信 さ れ る場合、 コ アは送信の最初のビー ト で こ のビ ッ ト を設定 し ます。 こ の出力は、 ス ト ラ ド ル オプシ ョ ンが無効に設定 さ れてい る 場合のみ使用 さ れます。 ス ト ラ ド ル オプシ ョ ンが有効に設定 さ れてい る 場合 (256 ビ ッ ト イ ン タ ーフ ェ イ ス の場合)、 常に コ アは こ の出力を 0 にセ ッ ト し ます。 m_axis_rc_tkeep 出力 DW/32 リ ク エ ス タ ー コ ンプ リ ーシ ョ ン データ 用の TKEEP を示す信号です。 送信中に こ のバ ス のビ ッ ト i がアサー ト さ れ る と 、 m_axis_rc_tdata バス の Dword i に有効なデー タ が含まれてい る こ と を コ アに示 し ます。 コ アは、 デ ィ ス ク リ プ タ ーの最初の Dword か ら ペ イ ロ ー ド の最後の Dword ま ですべての Dword に対 し て、 こ のビ ッ ト を継続的に 1 にセ ッ ト し ます。 し たがっ て、 パケ ッ ト のすべての ビー ト で、 m_axis_rc_tkeep が 1 にセ ッ ト さ れます。 ただ し 、 パケ ッ ト の合計サ イ ズがデー タ バス幅の倍数値でない場合の最後のビー ト は例外です (いずれ も Dword 単位)。 こ れは、 ペ イ ロ ー ド 送信が Dword ア ラ イ メ ン ト かつア ド レ ス ア ラ イ メ ン ト の場合に適用 さ れます。 コ アは、 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合、 ビ ッ ト [7:4] を 0 に固定 し 、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合、 ビ ッ ト [7:2] を 0 に固定 し ます。 こ れ ら の出力は、 イ ン タ ーフ ェ イ ス幅が 256 ビ ッ ト で ス ト ラ ド ル オプシ ョ ンが有 効に設定 さ れてい る 場合にすべて 1 と な り ます。 イ ン タ ーフ ェ イ ス を介 し て送信 さ れた コ ンプ リ ーシ ョ ン TLP の開始およ び終了を判断する場合、 ユーザー ロ ジ ッ ク は m_axis_rc_tuser の こ の信号を使用する必要があ り ます。

(23)

m_axis_rc_tvalid 出力 1 リ ク エ ス タ ー コ ンプ リ ーシ ョ ン データ の Valid 信号です。 m_axis_rc_tdata バ ス 上に有効なデー タ があ る 場合、 コ アは こ の出力を アサー ト し ます。 パケ ッ ト 送信中、 こ の Valid 信号はアサー ト さ れた状態を保持 し ます。 ユー ザー アプ リ ケーシ ョ ンは、 m_axis_rc_tready 信号を使用し てデータ送信を制御で き ます。 m_axis_rc_tready 入力 1 リ ク エ ス タ ー コ ンプ リ ーシ ョ ン データ の Ready 信号です。 ユーザー ロ ジ ッ ク で こ の信号を High にアサー ト する と 、 ユーザー アプ リ ケー シ ョ ンがデー タ を受信す る 準備が整っ てい る こ と を コ アに示 し ます。 同 じ サ イ ク ルで m_axis_rc_tvalid と m_axis_rc_tready が両方 と も アサー ト さ れ る と 、 イ ン タ ー フ ェ イ ス を介 し てデー タ が送信 さ れます。

Valid が High の と き にユーザー アプ リ ケーシ ョ ン が Ready 信号をデ ィ アサー ト し た場合、 Ready 信号がアサー ト さ れ る ま で、 コ アはバス上のデータ を保持し 、 ま た Valid 信号を アサー ト し た状態で保持し ます。 表 2-12: リ ク エス タ ー コ ン プ リ ーシ ョ ン イ ン タ ー フ ェ イ スのポー ト の説明 (続き) ポー ト 方向 幅 説明 表 2-13: m_axis_rc_tuser の側帯波信号の説明 ビ ッ ト イ ンデ ッ ク ス 名称 幅 説明 31:0 byte_en 32 ユーザー ロ ジ ッ ク は、 オプシ ョ ンで こ れ ら のバ イ ト イ ネーブル信号を使用 し て、 送 信 さ れ る パケ ッ ト のペ イ ロ ー ド 内で有効なバ イ ト を判断で き ます。 送信中に こ のバ ス のビ ッ ト i がアサー ト さ れ る と 、 m_axis_rc_tdata バス のバ イ ト i に有効なペイ ロ ー ド バ イ ト が含まれてい る こ と を示 し ます。 こ のビ ッ ト は、 デ ィ ス ク リ プ ター バ イ ト に対 し て アサー ト さ れません。 バ イ ト イ ネーブル信号は、 リ ク エ ス ト デ ィ ス ク リ プ タ ー内の情報 (ア ド レ スおよ び 長 さ ) か ら ユーザー ロ ジ ッ ク が生成で き ますが、 ほかの イ ン タ ーフ ェ イ ス信号か ら 生 成す る 代わ り に、 直接使用す る こ と も 可能です。 TLP のペイ ロ ー ド に対する こ のバ ス の 1 ビ ッ ト は常に連続し ます。 コ アは、 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合、 ビ ッ ト [31:16] を 0 に固定 し 、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合、 ビ ッ ト [31:8] を 0 に固定 し ます。 バ イ ト イ ネーブル ビ ッ ト は、 長 さ 0 の メ モ リ 読み出 し リ ク エ ス ト に応答 し て受信 さ れた コ ン プ リ ーシ ョ ンで も 設定 さ れます。 32 is_sof_0 1 最初の コ ンプ リ ーシ ョ ン TLP の開始を示 し ます。 64 ビ ッ ト と 128 ビ ッ ト イ ン タ ーフ ェ イ ス、 お よ び ス ト ラ ド ルが無効に設定 さ れてい る 256 ビ ッ ト イ ン タ ーフ ェ イ ス の場合、 TLP の開始を示すためにパケ ッ ト の最初の ビー ト で コ アが is_sof_0 を アサー ト し ます。 こ れ ら の イ ン タ ーフ ェ イ ス では、 1 デー タ ビー ト で 1 TLP のみ開始可能であ り 、 is_sof_1 は常に 0 にセ ッ ト さ れます。 こ の信 号の使用は、 ス ト ラ ド ル オプシ ョ ンが無効の場合のみ有効です。 イ ン タ ーフ ェ イ ス幅が 256 ビ ッ ト で ス ト ラ ド ル オプシ ョ ンが有効な場合、 コ アは同 じ ビー ト 内で 2 つの コ ンプ リ ーシ ョ ン TLP を ス ト ラ ド ルで き ます。 こ の場合、 コ ン プ リ ーシ ョ ン TLP は AXI4-Stream パケ ッ ト と し て フ ォーマ ッ ト さ れません。 is_sof_0 のアサー ト は、 ビー ト 内におけ る コ ンプ リ ーシ ョ ン TLP の開始を示 し ます。 以前の TLP が現ビー ト よ り も 前に終了 し てい る 場合、 現 コ ン プ リ ーシ ョ ン TLP の最初のバ イ ト はバ イ ト レーン 0 に含まれ、 ま た以前の TLP が現ビー ト で継続 し てい る場合、 コ ンプ リ ーシ ョ ン TLP の最初のバ イ ト はバ イ ト レーン 16 に含まれます。

(24)

33 is_sof_1 1 2 つ目の コ ン プ リ ーシ ョ ン TLP の開始を示 し ます。 イ ン タ ーフ ェ イ ス幅が 256 ビ ッ ト で ス ト ラ ド ル オプシ ョ ンが有効な場合に こ の信号 が使用 さ れ、 コ アは同 じ ビー ト 内で 2 つの コ ンプ リ ーシ ョ ン TLP を ス ト ラ ド ルで き ます。 その他の場合、 こ の出力は常に 0 にな り ます。 is_sof_1 のアサー ト は、 ビー ト 内におけ る 2 つ目の コ ン プ リ ーシ ョ ン TLP の開始を示 し ます。 こ の と き 、 最初のバ イ ト はバ イ ト レーン 16 に含まれます。 以前の TLP が同 じ ビー ト の 0 ~15 のいずれかのバ イ ト 位置で終了 し てい る場合のみ、 コ アはバ イ ト 位置 16 で 2 つ目の TLP を開始し ます。 つま り 、 同 じ ビー ト で is_eof_0[0] も 設定 さ れ てい る 場合に限 ら れます。 37:34 is_eof_0[3:0] 4 最初の コ ンプ リ ーシ ョ ン TLP の終了 と 最後の Dword のオフ セ ッ ト を示 し ます。 こ れ ら の出力は、 イ ン タ ーフ ェ イ ス幅が 256 ビ ッ ト で ス ト ラ ド ル オプシ ョ ンが有効 に設定 さ れてい る 場合のみ使用 さ れます。 ビ ッ ト is_eof_0[0] のアサー ト は、 現ビー ト におけ る最初の コ ンプ リ ーシ ョ ン TLP の 終了を示 し ます。 こ のビ ッ ト が設定 さ れ る と 、 is_eof_0[3:1] が こ の TLP の最後の Dword のオ フ セ ッ ト を示 し ます。 41:38 is_eof_1[3:0] 4 2 つ目の コ ン プ リ ーシ ョ ン TLP の終了 と 最後の Dword のオ フ セ ッ ト を示 し ます。 こ れ ら の出力は、 イ ン タ ーフ ェ イ ス幅が 256 ビ ッ ト で ス ト ラ ド ル オプシ ョ ンが有効 に設定 さ れてい る 場合のみ使用 さ れます。 コ アは、 同 じ ビー ト 内で 2 つの コ ンプ リ ーシ ョ ン TLP を ス ト ラ ド ルで き ます。 その他の場合、 こ れ ら の出力は予約 さ れて い ます。 is_eof_1[0] のアサー ト は、 同 じ ビー ト におけ る 2 つ目の TLP の終了を示 し ます。 is_eof_1 のビ ッ ト 0 がセ ッ ト さ れ る と 、 ビ ッ ト [3:1] は現ビー ト で終了す る TLP の最 後の Dword のオフ セ ッ ト を示し ます。2 つ目の TLP は、バ イ ト 位置 27 ~ 31 の間での み終了可能なため、 is_eof_1[3:1] は 2 つの値 (6 ま たは 7) のいずれか と な り ます。 2 つ目の TLP の最後のバ イ ト のオ フ セ ッ ト は、 TLP の開始ア ド レ ス と 長 さ 、 あ る いは バ イ ト イ ネーブル信号 byte_en[31:0] か ら 判断で き ます。

is_eof_1[0] が High の場合、 is_eof_0[0] 信号 と is_sof_1 信号 も 同 じ ビー ト 内で High に な り ます。 42 discontinue 1 内部 FIFO メ モ リ か ら TLP ペイ ロ ー ド を読み出し てい る間に訂正不可能なエ ラ ーを検 出 し た場合に、 コ アは TLP の最後のビー ト で こ の信号を アサー ト し ます。 こ の よ う なエ ラ ーが コ アに よ っ て検出 さ れた場合、 ユーザー アプ リ ケーシ ョ ンはすべての TLP を破棄す る 必要があ り ます。 TLP にペ イ ロ ー ド がない場合に、 こ の信号がアサー ト さ れ る こ と はあ り ません。 こ の信号は、 ペ イ ロ ー ド 送信の最後のビー ト でのみアサー ト さ れます (つま り 、 is_eof_0[0] が High の場合)。 ス ト ラ ド ル オプシ ョ ンが有効の場合、 コ アが discontinue 信号を アサー ト し てい る と 2 つ目の TLP が開始 さ れ ません。 コ アがエン ド ポ イ ン ト と し て コ ン フ ィ ギ ュ レーシ ョ ン さ れてい る 場合、 Advanced Error Reporting (AER) 機能を使用す る こ と で、 コ アが接続 さ れてい る ルー ト コ ン プ レ ッ ク ス に対 し てエ ラ ーが レ ポー ト さ れます。 74:43 parity 32 256 ビ ッ ト の送信デー タ 用の奇数パ リ テ ィ です。 ビ ッ ト i は、 m_axis_rc_tdata のバ イ ト i について計算 さ れた奇数パ リ テ ィ を提供し ま す。 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合は下位 16 ビ ッ ト のみ使用 さ れ、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合は下位 8 ビ ッ ト のみ使用 さ れます。 コ アは、 イ ン タ ーフ ェ イ ス幅が 128 ビ ッ ト の場合、 ビ ッ ト [31:16] を 0 に固定 し 、 イ ン タ ーフ ェ イ ス幅が 64 ビ ッ ト の場合、 ビ ッ ト [31:8] を 0 に固定 し ます。 表 2-13: m_axis_rc_tuser の側帯波信号の説明 (続き) ビ ッ ト イ ンデ ッ ク ス 名称 幅 説明

表 2-3: 利用可能な PCI Express 用統合ブ ロ ッ ク - Zynq UltraScale+
表 2-4: 利用可能な PCI Express 用統合ブ ロ ッ ク - Kintex UltraScale+ (続き)
表 2-15: m_axis_cq_tuser の側帯波信号の説明 ビ ッ ト
表 2-17: s_axis_cc_tuser の側帯波信号の説明 ビ ッ ト   イ ンデ ッ ク ス 名称 幅 説明 1:0 is_sop[1:0] 2 現ビー ト での新 し い TLP の開始を示 し ます。 こ れ ら の出力は、 TLP の最 初の ビー ト で設定 さ れます。 ス ト ラ ド ルが無効の場合、 is_sop[0] のみが有効にな り ます。 ス ト ラ ド ルが有効の場合、 設定は次の と お り です。00: 現ビー ト で開始す る 新 し い TLP はあ り ません。
+7

参照

Outline

関連したドキュメント

(1) 送信機本体 ZS-630P 1)

& Shipyarrd PFIs.. &

LC06111TMT Battery Protection Controller with Integrated MOSFET, 1-Cell Lithium-Ion LC05711ARA Battery Protection Controller with Integrated MOSFET, 1-Cell Lithium-Ion

四二九 アレクサンダー・フォン・フンボルト(一)(山内)

* 1   $ PDQXVFULSW SUHVHUYHG LQ WKH 1LHGHUVlFKVLVFKH 6WDDWV XQG 8QLYHUVLWlWVELEOLRWKHN *|WWLQJHQ &RG 0V 6DQVNU 0X , 95. C6āUDGā3DSHU,QFRPSOHWHII1Y24U5%HJLQVRP

• Step 3: M 1 is closed Î bridge pin moved to bulk level, D boot is still blocked & C boot supplies floating area... Root of High Side Driver

[r]

1-4 2030年に向けた主要目標 【ゼロエミッション東京戦略 2020 Update &