• 検索結果がありません。

Vivado Design Suite ユーザー ガイド : 消費電力解析および最適化 (UG907)

N/A
N/A
Protected

Academic year: 2021

シェア "Vivado Design Suite ユーザー ガイド : 消費電力解析および最適化 (UG907)"

Copied!
92
0
0

読み込み中.... (全文を見る)

全文

(1)

Vivado Design Suite

ユーザー

ガイ ド

消費電力解析および最適化

UG907 (v2016.2) 2016 年 6 月 8 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情

報につきましては、必ず最新英語版をご参照ください。

(2)

改訂履歴

次の表に、 こ の文書の改訂履歴を示 し ます。

日付 バージ ョ ン 改訂内容

2016 年 6 月 8 日 2016.2 第1 章 「FPGA の消費電力」 で ス タ テ ィ ッ ク 確率に関す る 情報を ア ッ プデー ト

第4 章 「Vivado Design Suite での消費電力解析および最適化」 に 「制御信号のア ク テ ィ ビ

テ ィ の調整」 を追加

第6 章 「消費電力削減のための ヒ ン ト および手法」 に 「消費電力お よ び温度の計測」 を

追加

2016 年 4 月 6 日 2016.1 第2 章 「消費電力の見積 も り : 初期評価段階」 に [UltraRAM Power] シー ト に関する情報 を追加

第4 章 「Vivado Design Suite での消費電力解析および最適化」 に 「消費電力レ ポー ト の保

存 と 復元」 を追加

第4 章 「Vivado Design Suite での消費電力解析および最適化」 に 「消費電力制約ア ド バ イ

ザー」 を追加

第4 章 「Vivado Design Suite での消費電力解析および最適化」 に、 デザ イ ンの異な る ブ

(3)

目次

改訂履歴 . . . 2

第 1 章 : FPGA の消費電力

概要 . . . 4 消費電力の用語 . . . 4 FPGA の電源 . . . 8 FPGA の消費電力 と 全般的な設計プ ロ セ ス . . . 9 ザ イ リ ン ク ス消費電力見積 も り/解析/最適化ツール . . . 11

第 2 章 : 消費電力の見積も り : 初期評価段階

概要 . . . 13

Xilinx Power Estimator を使用 し た正確な ワース ト ケース消費電力を見積 も る ための 7 つの手順 . . . 13

第 3 章 : 消費電力の見積も り : Vivado Design Suite でのデザイ ン フ ロー段階

概要 . . . 25

消費電力見積 も り . . . 25

Vivado IDE での消費電力の見積 も り . . . 25

第 4 章 : Vivado Design Suite での消費電力解析および最適化

概要 . . . 36 Vivado IDE での消費電力解析 . . . 36 消費電力最適化の機能 . . . 58

第 5 章 : Vivado 消費電力レポー ト を使用 し た正確な消費電力解析

概要 . . . 69

第 6 章 : 消費電力削減のための ヒ ン ト および手法

概要 . . . 82 シ ス テ ム レベルの消費電力削減 . . . 82 消費電力お よ び温度の計測 . . . 83 デザ イ ン レベルの消費電力削減 . . . 85

付録 A : その他のソ ースおよび法的通知

ザ イ リ ン ク ス リ ソ ース . . . 91 ソ リ ュ ーシ ョ ン セン ター . . . 91 参考資料 . . . 91 ト レーニ ン グ リ ソ ース . . . 92 法的通知 . . . 92

(4)

第 1 章

FPGA の消費電力

概要

こ の章では、 ボー ド に搭載 さ れた FPGA の消費電力の説明で使用 さ れ る用語を説明 し ます。 シ ス テ ム開発におけ る FPGA の開発について も 説明し 、 設計フ ローの各段階でどの よ う な操作が実行 さ れる かを示し ます。 ま た、 消費電力 の見積 も り 、 解析、 お よ び最適化に使用 さ れ る ザ イ リ ン ク スツールについて も 説明 し ます。 ビデオ: Vivado で消費電力を見積も る方法 と 最も正確な見積も り を取得する ためのベス ト プ ラ ク テ ィ スは、Vivado

Design Suite QuickTake ビデオ : Vivado での消費電力の見積 も り と 解析を参照 し て く だ さ い。

ビデオ: FPGA の消費電力に影響する要因および Vivado でデザ イ ンの消費電力を最小限に抑え る方法について、 Vivado の消費電力最適化を最大限に利用する ア ド バン ス制御、 ベス ト プ ラ ク テ ィ ス については、Vivado Design Suite

QuickTake ビデオ : Vivado を使用 し た消費電力最適化を参照 し て く だ さ い。

消費電力の用語

こ のガ イ ド では、 次の用語が使用 さ れてい ます。

デバイ スのス タ テ ィ ッ ク消費電力

接続 さ れてい る すべての電源レールの ト ラ ン ジ ス タ の リ ー ク 電流、 お よ び コ ン フ ィ ギ ュ レーシ ョ ン後に FPGA が正 常に動作す る ために必要な回路か ら の消費電力。 こ れは、 通常空の ビ ッ ト ス ト リ ーム をデバ イ ス にプ ロ グ ラ ムす る と 計測で き ます。 プ ロ セ ス、 電圧、 お よ び温度の関数で求め ら れ、 デバ イ ス の安定 し た状態での寄生 リ ー ク 電流を 表 し ます。

デザイ ンの消費電力

入力デー タ のパ タ ーンお よ びデザ イ ンの内部動作に よ り 発生す る ユーザー デザ イ ンのダ イ ナ ミ ッ ク 消費電力。 瞬間 的な も のであ り 、 各 ク ロ ッ ク サ イ ク ルで異な り ます。 電圧レベル、 使用 さ れる ロ ジ ッ ク リ ソ ースお よび配線 リ ソ ー ス に よ っ て異な り ます。 こ れには、I/O 終端、 ク ロ ッ ク マネージ ャー、 および使用時に電力を必要 と する その他の回 路か ら の ス タ テ ィ ッ ク 電流が含まれます。 オ フチ ッ プ デバ イ ス に供給 さ れる電力は含まれません。

オ ン チ ッ プの総消費電力

FPGA 内の消費電力の合計で、 デバ イ ス の ス タ テ ィ ッ ク 消費電力 と デザ イ ンの消費電力を加算 し た も のです。 熱消費

(5)

第 1 章 : FPGA の消費電力

オ フ チ ッ プ消費電力

電源か ら FPGA の電源ピ ン を介 し て I/O か ら 供給 さ れ、 外部ボー ド コ ンポーネ ン ト で消費 さ れる電流。 FPGA か ら 供 給 さ れ る 電流は、 通常 I/O 終端、 LED、 ほかのチ ッ プの I/O バ ッ フ ァ ーな どのオフチ ッ プ コ ンポーネン ト で消費 さ れ る ので、 デバ イ ス のジ ャ ン ク シ ョ ン温度は上昇 さ せません。

パワーオ ン電流

FPGA に最初に電源を投入し た と き に発生する過渡電流。 各電圧電源、 FPGA の構造、 電源が公称電圧ま で上昇する 能力に よ っ て異な り ます。 こ の電流は、 温度、 電源の投入順な ど のデバ イ ス の動作条件に よ っ て も 異な り ます。 アーキ テ ク チ ャ の向上や、 適切な電源投入順に よ り 、 パ ワーオン電流は動作電流 よ り も 通常小 さ く な り ます。

ジ ャ ン ク シ ョ ン温度 (°C)

動作中のデバ イ ス の温度。 通常デバ イ ス を選択す る 際は、 温度グ レー ド を選択 し ます。 こ の温度グ レー ド は、 デバ イ ス が仕様どお り 正常に動作す る 温度範囲を定義 し ます。 動作条件がグ レー ド の最大温度を超え る と 、 絶対最大温 度未満であ っ て も 、 デバ イ ス の動作は保証 さ れません。 絶対最大温度を超え る と 、 デバ イ ス が破損す る 可能性があ り ます。 ジ ャ ン ク シ ョ ン温度 = 周囲温度 + オンチ ッ プ消費電力合計 * 周囲空気に対す る 熱抵抗 (ΘJA)

周囲温度 (°C)

シ ス テ ムの動作条件下におけ る デバ イ ス周囲の空気の温度。

周囲空気に対する熱抵抗 (

ΘJA (°C/W))

Theta-JA および TJA と も 呼ばれ、FPGA シ リ コ ンか ら 周囲の環境 (デバ イ ス ジ ャ ン ク シ ョ ンか ら 周囲空気) に消費電 力が ど の よ う に放散 さ れ る か を定義す る 係数です。 シ リ コ ン チ ッ プの寸法か ら 周囲空気ま でのすべての要素、 およ びそれ ら の間にあ る パ ッ ケージ、PCB、 ヒ ー ト シ ン ク 、 エア フ ローな どが影響し ます。 こ れは通常、 発生し た熱が 環境に放散 さ れ る 次の 2 つの主なパス か ら の熱抵抗 と 相互依存性を組み合わせた も のです。 • ダ イ か ら 上方の空気へ (ジ ャ ン ク シ ョ ンか ら 周囲空気、 ΘJA) • ダ イ か ら ボー ド を介 し て下方の空気へ (ジ ャ ン ク シ ョ ンか ら ボー ド 、 ΘJB) ザ イ リ ン ク ス デバイ ス パ ッ ケージの温度データ は、パ ッ ケージ温度デー タ ク エ リ ツールを使用する と 取得で き ます。

(6)

第 1 章 : FPGA の消費電力

図1-1 に、 温度データ ク エ リ の結果例を示し ます。

熱抵抗の詳細は、 『7 シ リ ーズ FPGA パ ッ ケージおよびピ ン配置ガ イ ド 』 (UG475) [参照 7] および 『UltraScale および UltraScale+ FPGA パ ッ ケージおよびピ ン配置ユーザー ガ イ ド 』 (UG575) [参照 8] を参照 し て く だ さ い。

デバイ ス特性

Advance

デバ イ ス のデー タ モデルが早期プ ロ ダ ク シ ョ ン デバ イ ス ロ ッ ト か ら のシ ミ ュ レーシ ョ ン結果ま たは計測結果に基づ いてい る こ と を示 し ます。 こ のデー タ は通常、 製品 リ リ ース か ら 1 年以内に入手可能 と な り ます。 消費電力モデル デー タ は比較的安定 し てお り 、 余裕を持たせた設定ですが、 実際の値は上下す る 可能性があ り ます。 こ の仕様の デー タ は、Preliminary や Production 仕様のデー タ ほど正確ではあ り ません。

Preliminary

デバ イ ス のデー タ モデルがエン ジニア リ ン グ サンプル シ リ コ ンの特性評価データ に基づいてい る こ と を示 し ます。 こ の仕様では、 デバ イ ス フ ァ ブ リ ッ ク 内にあ る ほぼすべてのブ ロ ッ ク が特性評価 さ れています。 Advance 仕様 と 比 較す る と 、 消費電力値の精度は高 く な り ます。

Production

特定のデバ イ ス フ ァ ミ リ の十分な量産を経た上で特性評価が行われ、 多数の生産ロ ッ ト を対象 と し た完全な電力相 互関係が確立 さ れた後に リ リ ース さ れた こ と を示 し ます。 こ の特性化デー タ のデバ イ ス モデルは、 通常変更 さ れま せん。

信号レー ト

エ レ メ ン ト が秒ご と に ス テー ト を変更 (High か ら Low、 Low か ら High) する回数。 ザ イ リ ン ク ス ツールでは、 秒ご と の遷移数が百万単位で示 さ れます (Mtr/s)。 た と えば、 100MHz (10ns) に対 し て信号が 4 ク ロ ッ ク サ イ ク ルご と に遷移 す る 場合、 信号レー ト は 1/(4*10ns) = 25 Mtr/s にな り ます。

X-Ref Target - Figure 1-1

(7)

第 1 章 : FPGA の消費電力

ト グル レー ト

ト グル レー ト は、 同期ロ ジ ッ ク エ レ メ ン ト の出力がその入力に対 し て切 り 替わ る レー ト (%) を示し 、 0 ~ 100% の範 囲で表 さ れます。 ト グル レー ト が 100% の場合、 出力は各 ク ロ ッ ク サ イ ク ルご と に平均 1 回 ト グル し ます。 た と え ば、 任意の周波数の ク ロ ッ ク に対 し て信号が 4 ク ロ ッ ク サ イ ク ルご と に遷移する場合、 ト グル レー ト は (1/4)*100 = 25% です。 重要: ク ロ ッ ク ネ ッ ト の ト グル レー ト は常に 200% なので、 ネ ッ ト は 1 サ イ ク ルで 2 回 ト グルし ます。 ヒ ン ト : 理想的には、 同期ネ ッ ト (DDR ネ ッ ト 以外) は ク ロ ッ ク ご と に変化するので、 最大 ト グル レー ト は 100% で す。 同期ネ ッ ト がグ リ ッ チの影響を受けやすい場合は、[Signal Rate] を使用し て ス イ ッ チン グ ア ク テ ィ ビ テ ィ を指定 し ます。 ク ロ ッ ク に同期 し ないネ ッ ト や ロ ジ ッ ク な ど の非同期エ レ メ ン ト では、 ト グル レー ト は算出 さ れません。 Vivado® 消費電力ツールでは、 こ れ ら の タ イ プのエ レ メ ン ト にシ ン グル レー ト が使用 さ れてい る と 想定 さ れます。 次は、 その例です。 デザ イ ンのプ ラ イ マ リ 入力はデフ ォ ル ト では特定の ク ロ ッ ク に関連付け ら れません。 set_input_delay 制約を使用 し て、 ク ロ ッ ク と プ ラ イ マ リ 入力を関連付けて く だ さ い。 ク ロ ッ ク を関連付けない 場合、 消費電力ツールでデス テ ィ ネーシ ョ ン ク ロ ッ ク かデザ イ ン内で一番速い ク ロ ッ ク のいずれかに対する ト グル レー ト が計算 さ れます。

ス タ テ ィ ッ ク確率

解析期間中にエ レ メ ン ト が High (1’b1) に駆動 さ れる期間の割合を定義し ます。 有効な範囲は 0 ~ 1 です。 た と えば、 信号が 100ns 期間中 40ns ロ ジ ッ ク 1 にな る場合、 ス タ テ ィ ッ ク 確率は 40/100 = 0.4 にな り ます。 ヒ ン ト : ス タ テ ィ ッ ク確率が 1 の場合、 エレ メ ン ト が解析期間中ロ ジ ッ ク 1 に保持され、 ト グルし ない こ と を示し ま す ( ト グル/信号レー ト = 1)。 ス タ テ ィ ッ ク 確率が 0 の場合、 エ レ メ ン ト が解析期間中ロ ジ ッ ク 0 に保持 さ れ、 ト グル し ない こ と を示 し ます ( ト グ ル/信号レー ト = 1)。

(8)

第 1 章 : FPGA の消費電力

FPGA の電源

FPGA には、 複数の電源が必要です。 個別の電源か ら 、 異な る FPGA リ ソ ース に必要な電力が供給 さ れます。 こ れに よ り 、 異な る 電圧レベルで さ ま ざ ま な リ ソ ース を動作 さ せ る こ と がで き る ので、 ノ イ ズや寄生効果に対 し て高い耐 性を保ちなが ら 、 パフ ォーマ ン スお よ び信号強度を向上で き ます。 表1-1 に、 各種電源およびその電源が供給 さ れ る ザ イ リ ン ク ス FPGA 内の ロ ジ ッ ク リ ソ ース を示し ます。 こ れ ら の 詳細は、 ザ イ リ ン ク ス デバ イ ス フ ァ ミ リ に よ っ て異な る場合があ る ので、 こ の表はガ イ ド ラ イ ン と し てのみ示 し て い ます。 表 1-1 : FPGA リ ソ ース と その電源 電源 電源が供給 さ れる リ ソ ース VCCINT VCCBRAM(3) • すべての CLB リ ソ ース • すべての配線 リ ソ ース • すべての ク ロ ッ ク バ ッ フ ァ ーを含む ク ロ ッ ク ツ リ ー全体 • ブ ロ ッ ク RAM/FIFO • DSP ス ラ イ ス • すべての入力バ ッ フ ァ ー • IOB に含まれる ロ ジ ッ ク エ レ メ ン ト (ILOGIC/OLOGIC) • ISERDES/OSERDES • PowerPC™ プ ロ セ ッ サ(1) • ト ラ イ ス テー ト イーサネ ッ ト MAC(1) • ク ロ ッ ク マネージ ャー (MMCM、 PLL な ど)(1) • PCIE およびシ リ アル ト ラ ン シーバーの PCS 部分 VCCO(2) • すべての出力バ ッ フ ァ ー • 一部の入力バ ッ フ ァ ー • 入力終端 • DCI への基準抵抗 VCCAUX VCCAUX_IO(4) • ク ロ ッ ク マネージ ャー (MMCM、 PLL な ど)(1) • IODELAY/IDELAYCTRL • すべての出力バ ッ フ ァ ー • 差動入力バ ッ フ ァ ー

• VREF ベース のシ ン グルエン ド I/O 規格 (HSTL18_I な ど) • 位相器(1) VMGTAVCC VMGTAVTT VMGTVCCAUX • ト ラ ン シーバー回路用のアナ ロ グ電源電圧 : ° PLL ト ラ ン ス ミ ッ ター、 レ シーバー ° 終端回路 ° 補助のアナ ロ グ QPLL 電源電圧

(9)

第 1 章 : FPGA の消費電力

FPGA の消費電力 と 全般的な設計プ ロ セス

プ ロ ジ ェ ク ト 考案か ら 完成ま で、 消費電力に影響す る さ ま ざ ま な側面を考慮す る 必要があ り ます。 ほかのすべての 制約 (機能、 パフ ォーマン ス、 コ ス ト 、 およびタ イ ム ト ゥ マーケ ッ ト ) を一時的に除外する と 、 消費電力に関連する タ ス ク は次の 2 つに分類で き ます。 • 物理的 エン ク ロ ージ ャ 、 ボー ド の形状、 電源、 電源分配ネ ッ ト ワー ク (PDN)、 熱に よ る消費電力の散逸構造 • 論理的 エ リ ア、 パフ ォーマ ン ス、I/O イ ン ターフ ェ イ ス のシグナル イ ン テ グ リ テ ィ VCCPINT VCCPAUX VCCPLL VCCO_DDR VCCO_MIO • Zynq®-7000 AP SoC: ° プ ロ セ ッ サ ° メ モ リ ° I/O ° ペ リ フ ェ ラ ル ° AXI イ ン ターフ ェ イ ス VCC_PSINTFP VCC_PSINTLP VCC_PSAUX VCCPSINTFP_DDR VCC_PSPLL VPS_MGTRAVCC VPS_MGTRAVTT VCCO_PSDDR VCCO_PSDDR_PLL VCCO_PSIO • Zynq-UltraScale+ MPSoC ° プ ロ セ ッ サ ° メ モ リ ° I/O ° ペ リ フ ェ ラ ル 注記: 1. 一部のデバ イ ス フ ァ ミ リ のみに含まれます。 詳細は、 該当するデー タ シー ト お よびユーザー ガ イ ド を参照 し て く だ さ い。 2. バン ク 0 の VCCO (VCCO_0 ま たは V0CCO_CONFIG) は、バン ク 0 に含まれ るすべての I/O と コ ン フ ィ ギ ュ レーシ ョ ン回路に電源を

供給 し ます。 詳細は、 該当す るコ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ドを参照 し て く だ さ い。 3. ザ イ リ ン ク ス 7 シ リ ーズのブ ロ ッ ク RAM/FIFO のみ

4. ザ イ リ ン ク ス 7 シ リ ーズ HP (High Performance) バン ク のみ

表 1-1 : FPGA リ ソ ース と その電源 (続き)

(10)

第 1 章 : FPGA の消費電力 次の章で、 こ れ ら の 2 つの分野の依存関係を示 し ます。 前者はハー ド ウ ェ アの決定事項、 後者は主に FPGA の論理 デザ イ ンが関係す る と い う 点が異な り ます。 通常、 ハー ド ウ ェ アの選択お よ びサ イ ズ設定は、 プ ロ ト タ イ プ ボー ド を構築で き る よ う 、 デザ イ ン フ ローの初期段階で行い ます。 FPGA の機能の消費電力に対する影響は早期に見積 も り 可能で、 デザ イ ン ロ ジ ッ ク が完成に近づ く につれ、 よ り 正確な値が得 ら れる よ う にな り ます。図1-2 に典型的な シ ス テ ムの設計プ ロ セ ス を示 し 、 消費電力に関連す る 判断箇所をハ イ ラ イ ト し ます。 こ の図では、 デバ イ ス と その 冷却パーツ を選択す る 時点では、FPGA ロ ジ ッ ク が完成し ていない こ と がわか り ます。 こ のため、 FPGA ロ ジ ッ ク の 消費電力要件を見積 も る 手法が必要です。 こ れ ら の手法については、 次で説明 し ます。 • 第2 章 「消費電力の見積 も り : 初期評価段階」

• 第3 章 「消費電力の見積 も り : Vivado Design Suite でのデザ イ ン フ ロー段階」

こ の後の章で、 設計プ ロ セ ス全体において消費電力を解析 し 、 削減す る 手法を示 し ます。 X-Ref Target - Figure 1-2

図 1-2 : FPGA の設計プ ロ セスにおける消費電力 ; ኔኖኣኽⅤ㱧 )3*$ ኹዙኦቋኔኖኣኽቑ㸚ቭቑ捷⒕ ኣኌካዊንቑ指㔭 ವ኶ዐኝዙኤክኁኖቑ指㔭 ವ䑀榊┪ቑ━ቭ㇢቉ ವ∪俵榊㿐ቑ━ቭ㇢቉ 䀗彊榊┪尚䳜ብቭቑ䥲尥嵎㠃 ክንኄአእት怔ራቂ⫃⚗ቒ⮘㦃 ኔኖኣኽቑ⺇㽤䜿⬒ 㶰ቑ⫃⚗቎䀗彊榊┪ትⓙ䂪 ವኮኲኆዙኻዐኖቊ峀⹈ሸቯቮ 呹╤ ವክንኄአእት怔ራ቉ሧቮ 㓚╤呹╤ ዆ኹቊቑኣኖእ ㈀檎 ኜኁኽእኂኻዙ኎አእ ቑ䩼傽 ነኖእቑⓙ䂪 ㇱ䕅 ⑆☃ኣኌካዊን 榊䄟3'1 ⸮椪岗䂻ሸቯቂ䀗彊榊┪ት 尚䳜ብቬቯቂ䀗彊榊┪቎⺍ሺ቉㮫峋 ⮩⺠ቑ嵎㠃ቒ♾厌 )3*$ቒ㩣慮㊶ሯ 浧ሧ ኹዙኦቋ)3*$ት)3*$ቑ䀗彊榊┪ትቊሰቮቃሴ㡸㦮቎尚䳜ብቮ㉔尐ሥቭ Ⅴ㱧 ⚗㒟 揜函 揜偩 ኌዊዙንዀ Ⅴ㱧 ዉኁቿኃእ 完抯 ⥭恾⦂

(11)

第 1 章 : FPGA の消費電力

ザイ リ ン ク ス消費電力見積も り /解析/最適化ツール

ザ イ リ ン ク ス では、FPGA の温度要件および電源要件をデザ イ ン サ イ ク ルを通 し て評価で き る ソ フ ト ウ ェ ア ツール お よ び資料を提供 し てい ます。図1-3 に、 FPGA の各デザ イ ン サ イ ク ルで使用で き る ツールを示 し ます。 ツールに は、 ス タ ン ド ア ロ ンの も の と イ ンプ リ メ ン テーシ ョ ン ツールに統合 さ れてい る も のがあ り 、 後者は設計プ ロ セ ス の 各段階で利用で き る 環境お よ び情報 と 一貫 し てい ます。 すべての ツールには通信チ ャ ネルがあ り 、 効率 よ く 解析で き る よ う に情報を交換で き ます。

Xilinx Power Estimator (XPE)

Xilinx Power Estimator (XPE) は、 通常プ ロ ジ ェ ク ト の設計前 と イ ンプ リ メ ン テーシ ョ ン前の段階で使用 さ れ る ス プ レ ッ ド シー ト 形式の消費電力見積 も り ツールです。XPE はアーキテ ク チ ャ の評価およびデバ イ ス の選択に利用で き、 ま た アプ リ ケーシ ョ ンに適切な電源や温度管理 コ ン ポーネ ン ト の選択に役立ち ます。XPE イ ン ターフ ェ イ ス (図1-4) では、 デザ イ ンの リ ソ ース使用量、 ア ク テ ィ ビ テ ィ レー ト 、 I/O 負荷な どの さ ま ざ ま な要因を指定で き、 こ れ ら をデ バ イ ス モデル と 共に使用 し て電力分配が見積 も ら れます。 ま た、 デザ イ ン サ イ ク ル後半の イ ンプ リ メ ン テーシ ョ ンおよび消費電力を最適化する段階で も 、 た と えば設計変更 指示 (ECO) の消費電力への影響を評価する場合な どに、 XPE が よ く 使用 さ れます。 複数のチームに よ り イ ンプ リ メ ン ト さ れ る 大型デザ イ ンでは、 プ ロ ジ ェ ク ト リ ーダーが XPE を使用し て各チームのモジ ュールの使用量およびア ク テ ィ ビ テ ィ を イ ン ポー ト し て総消費電力を監視 し 、 制約が満た さ れ る よ う に消費電力の割 り 当て を変更で き ます。 Xilinx Power Estimator (XPE) の詳細は、 『Xilinx Power Estimator ユーザー ガ イ ド 』 (UG440) [参照 4] を参照し て く だ さ い。

Vivado 消費電力解析

Vivado 消費電力解析機能は、 合成後、 配置後、 配線後な ど、 フ ローの さ ま ざ ま な段階で消費電力解析を実行 し ます。 配線後には、 イ ンプ リ メ ン ト 済みのデザ イ ンか ら の正確な ロ ジ ッ ク リ ソ ースおよび配線 リ ソ ース情報が読み出 さ れ る ので、 精度が最 も 高 く な り ます。図1-4 に、 消費電力レ ポー ト のサマ リ と 、 ク ロ ッ ク ド メ イ ン、 リ ソ ース の種類、 デザ イ ン階層な ど、 使用可能な さ ま ざ ま な ビ ュ ーを示 し ます。Vivado 統合設計環境 (IDE) で環境設定やデザ イ ン ア ク テ ィ ビ テ ィ を変更で き 、 デザ イ ンの電源お よ び熱消費電力を ど の よ う に減 ら すかを検討で き ます。 消費電力レ ポー ト か ら デザ イ ンに ク ロ ス プ ロ ーブす る こ と も 可能で、 消費電力の大 き い階層や リ ソ ース を特定お よ び評価す る のに便利です。

Vivado Design Suite アーキテ ク チ ャ のサポー ト については、 『Vivado Design Suite ユーザー ガ イ ド : リ リ ース ノ ー ト 、 イ ン ス ト ールお よ び ラ イ セ ン ス』 (UG973) [参照 1] を参照 し て く だ さ い。

X-Ref Target - Figure 1-3

図 1-3 : FPGA 設計プ ロセスで使用可能な Vivado 消費電力見積も り /解析ツール ;,OLQ[3RZHU(VWLPDWRU ;3( 9LYDGR䀗彊榊┪屲㨟 ; Ⅴ㱧 ⚗㒟䂗ቢ ኤናኁዐ 揜函䂗ቢ ኤናኁዐ 揜偩䂗ቢ ኤናኁዐ ኌዊዙንዀ 㮫峋

(12)

第 1 章 : FPGA の消費電力

Vivado 消費電力最適化

Vivado デザ イ ン ツールでは、 さ ま ざ ま な消費電力の最適化が提供 さ れてお り 、 デザ イ ンのダ イ ナ ミ ッ ク 消費電力を 最大 30% 削減で き ます。 こ れ ら の最適化では、 ASIC の ク ロ ッ ク ゲーテ ィ ン グ ま たは高度なシーケ ン シ ャ ル ク ロ ッ ク ゲーテ ィ ン グ、 あ る いはその両方の手法を使用 し て、 デザ イ ンの機能に影響を与え る こ と な く ス イ ッ チン グ ア ク テ ィ ビ テ ィ を最小限に抑え る こ と がで き ます。 消費電力最適化は、 デザ イ ン全体に適用す る か、 選択 し た部分のみ に適用で き ます。

Vivado では、 Vivado IDE ま たは Tcl コ マン ド を使用 し て消費電力最適化を実行で き ます。 X-Ref Target - Figure 1-4

図 1-4 : Vivado 消費電力解析

X-Ref Target - Figure 1-5

(13)

第 2 章

消費電力の見積も り : 初期評価段階

概要

こ の章では、 デザ イ ン サ イ ク ルの初期評価段階でデザ イ ンの消費電力を評価する方法を説明 し ます。 こ の段階では、 Xilinx Power Estimator を使用 し ます。

初期評価段階が終了 し てい る 場合は、 デザ イ ン サ イ ク ルの後の段階でデザ イ ンの消費電力を評価する方法を説明す る 次の章に進んで く だ さ い。 後の段階では、 消費電力見積 も り を自動的に簡単に実行で き る Vivado® Design Suite を 使用 し ます。

Xilinx Power Estimator を使用 し た正確なワース ト ケース

消費電力を見積 も る ための 7 つの手順

消費電力バジ ェ ッ ト

こ の段階では、 アプ リ ケーシ ョ ンに と っ て FPGA が最 も 効率の よ い技術であ る こ と が既に決定 さ れてい ます。 こ こ では、 要求 さ れ る 機能、 パフ ォーマ ン ス、 コ ス ト 、 お よ び消費電力に合 う ベン ダー、 フ ァ ミ リ 、 お よ びパ ッ ケージ を選択 し ます。 消費電力に関 し ては、 ロ ジ ッ ク が ま だ 1 つ も 開発 さ れていない状態でデバ イ ス の総消費電力を見積 も る 必要があ り ます。 総消費電力要件を理解 し てお く と 、 電力分配お よ び冷却仕様を定義す る のに役立ち ます。 通常、 次について考慮 し ます。 • 電源はい く つ必要か • 各電源で使用 さ れ る 電力はどれ く ら いか • 吸収 さ れたエネルギーで どれ く ら いの熱が生成す る か

Xilinx Power Estimator を使用する と 、 こ れ ら の質問に対する答え を得る こ と がで き ます。 Xilinx Power Estimator は、 FPGA ロ ジ ッ ク と デバ イ ス がはんだ付け さ れる プ リ ン ト 回路基板を同時に開発する のに役立ち ます。 こ れに よ り 、 必 要なマージ ン を理解 し 、 イ ンプ リ メ ン ト 後にシ ス テ ム を指定範囲内で動作 さ せ る こ と がで き る よ う にな り ます。

(14)

第 2 章 : 消費電力の見積も り : 初期評価段階

X-Ref Target - Figure 2-1

(15)

第 2 章 : 消費電力の見積も り : 初期評価段階

Xilinx Power Estimator (XPE) での消費電力見積も り

FPGA デザ イ ンで正常に機能する信頼性の高いシ ス テ ム を作成する には、 消費電力使用および冷却使用を適切に設定 す る 必要があ り ます。 ほ と ん ど の場合、 こ れ ら の温度仕様お よ び消費電力仕様は PCB 設計の前に設定する必要があ り ます。FPGA は柔軟性が高いため、 シ ス テ ム デザ イ ン ま たは PCB 製造の前に FPGA デザ イ ンが完了 し ていないか 開始 し ていない こ と が よ く あ り ます。FPGA デザ イ ンではビ ッ ト ス ト リ ーム、 ク ロ ッ キ ン グ、 およびデバ イ ス のデー タ フ ローに よ っ て温度特性および電力特性が大き く 変化する ので、 こ れは課題 と な り ます。 電力ま たは温度シ ス テ ム を過小設計す る と 、FPGA が仕様範囲外で動作する結果 と な り 、 FPGA が目的のパフ ォーマ ン ス で動作 し なか っ た り 、 重要な問題が発生す る 可能性があ り ます。 電力シ ス テ ム を過剰設計 し た場合、 通常問題 はそれほ ど深刻ではあ り ませんが、 不必要に コ ス ト が高 く な っ た り 、 全体的な FPGA デザ イ ンが よ り 複雑にな る こ と があ り ます。 デザ イ ンが完了す る 前に消費電力を見積 も る のは簡単な こ と ではあ り ません。 次の手順では、 消費電力解析に焦点が置かれてい ます。 複数の消費電力の最適化を解析中に試 し た り 適用 し た り で き 、 消費電力の大幅な削減につなが る こ と があ り ます。 消費電力最適化手法については、 次の章で説明 し ます。

手順 1 : タ ーゲ ッ ト デバイ ス用の最新版 Xilinx Power Estimator の入手

最新版の Xilinx Power Estimator (XPE) ツールを使用する こ と が重要です。 消費電力情報は、 最新の消費電力モデルお よ び特性評価デー タ に基づいて随時ア ッ プデー ト さ れます。

最新バージ ョ ンの XPE は、 ザ イ リ ン ク ス ウ ェ ブサ イ ト の XPE ダ ウ ン ロー ド ページか ら 入手で き ます。 設計プ ロ セ ス中 こ の ウ ェ ブサ イ ト に と き ど き ア ク セ ス し て、 新 し いバージ ョ ンが入手可能であ る か ど う かを確認 し て く だ さ い 新 し いバージ ョ ンが入手可能な場合は、 新 し いバージ ョ ンの [Summary] シー ト で [Import File] ボ タ ン を ク リ ッ ク する

と 、 以前のバージ ョ ンか ら デー タ を イ ン ポー ト で き ます。Xilinx Power Estimator を最新の状態に し てお く こ と で、 消 費電力解析に常に最新の消費電力情報が使用 さ れ る よ う にな り ます。

手順 2 : [Summary] シー ト へのデバイ ス情報の入力

[Summary] シー ト の [Device] セ ク シ ョ ンの各フ ィ ール ド を適切に設定 し て く だ さ い。 各設定が、 ス タ テ ィ ッ ク 消費電 力お よ び ク ロ ッ キ ン グ消費電力な ど の消費電力の算出に大 き く 影響 し ます (図2-2)。

(16)

第 2 章 : 消費電力の見積も り : 初期評価段階 [Device] セ ク シ ョ ンに次の情報を入力 し ます。 • [Family] および [Device] : 不適切な フ ァ ミ リ ま たはデバ イ ス を選択する と 、 ク ロ ッ ク のデザ イ ン消費電力な ど、 デバ イ スお よ びデザ イ ンの消費電力見積 も り が不正な も の と な り ます。 ま た、 使用可能なデバ イ ス リ ソ ース も 不正にな り ます。 • [Package] : パ ッ ケージの選択は、 デバ イ ス の放熱、 そ し て最終的なジ ャ ン ク シ ョ ン温度に影響 し ます。 ジ ャ ン ク シ ョ ン温度が不正な場合、 デバ イ ス の ス タ テ ィ ッ ク 消費電力算出が不正にな り ます。

• [Speed Grade] (指定可能な場合) : デザ イ ンの要件に最 も 適 し た ス ピー ド グ レー ド を選択 し ます。 一部の FPGA フ ァ ミ リ では、 ス ピー ド グ レー ド に よ っ て消費電力仕様が異な り ます。

• [Temp Grade] : デバ イ ス に適 し た温度グ レー ド を選択 し ます。 通常は [Commercial] ま たは [Industrial] です。 こ の 設定に よ り ス タ テ ィ ッ ク 消費電力仕様が異な る デバ イ ス も あ り ます。 こ れを適切に設定す る こ と に よ り 、 選択 し たデバ イ ス に対 し て正 し いジ ャ ン ク シ ョ ン温度範囲が表示 さ れます。

• [Process] : ワース ト ケース解析では、 [Maximum] に設定する こ と をお勧め し ます。 デフ ォル ト 設定は [Typical] で、 統計的な計測に基づ く よ り 正確な結果が得 ら れますが、[Maximum] に変更する と 、 消費電力仕様が ワース

ト ケース の値に変更 さ れます。

• [Voltage ID Used] : Voltage ID (VID) 電圧は、 FPGA がパフ ォーマン ス の仕様を満た し なが ら 動作可能な最小 VCCINT 電圧です。 こ の電圧は FPGA の製造時にテ ス ト さ れてお り 、 その値は FPGA の DNA (デバ イ ス識別子) eFUSE レ ジ ス タ にプ ロ グ ラ ム さ れてい ます。 VID 機能をデザ イ ンで有効に し て FPGA を こ の VID 電圧で動作 さ せ る と 、 公称電圧での動作時 と 比較 し て ス タ テ ィ ッ ク 消費電力を大幅に削減で き ます。

X-Ref Target - Figure 2-2

(17)

第 2 章 : 消費電力の見積も り : 初期評価段階

手順 3 : [Summary] シー ト への環境情報の入力

[Summary] シー ト の [Environment] セ ク シ ョ ンで適切な環境条件を設定 し ます (図2-3)。

[Environment] セ ク シ ョ ンに次の情報を入力 し ます。

• [Ambient Temp (°C)] : FPGA デザ イ ン を含むエン ク ロージ ャ内で達する可能性のあ る最大温度を指定 し ます。 こ の設定 と 、 エア フ ロ ーやその他の放熱経路 ( ヒ ー ト シ ン ク な ど) に よ り 、 ジ ャ ン ク シ ョ ン温度が正確に算出 さ れ、 デバ イ ス の ス タ テ ィ ッ ク 消費電力が よ り 正確に算出 さ れます。 • [Airflow (LFM)] : チ ッ プのエア フ ローは、 LFM ( リ ニア フ ィ ー ト /分) で計測 さ れます。 LFM は、 CFM (立方 フ ィ ー ト/分) で表 さ れた フ ァ ンの出力を空気が通過する断面で除算 し て求め る こ と がで き ます。 FPGA ま たは フ ァ ン (ま たはその両方) の具体的な配置は、 FPGA 上の空気の動き、 さ ら には放熱に影響する可能性があ り ま す。 こ のパ ラ メ ー タ ーのデフ ォ ル ト 値は 250LFM です。 エア フ ローな し (静止空気中) で FPGA を動作 さ せる場 合、 デフ ォ ル ト の 250LFM を 0LFM に変更する必要があ り ます。 • [Heat Sink] : ヒ ー ト シ ン ク を使用し てお り 、 詳細な放熱情報がない場合は、 使用する ヒ ー ト シ ン ク の タ イ プに適 切なプ ロ フ ァ イ ルを選択 し ます。 こ のパ ラ メ ー タ ー と ほかのパ ラ メ ー タ ーを使用 し て、 有効 ΘJB が算出 さ れ、 よ り 正確なジ ャ ン ク シ ョ ン温度お よ び静止消費電力が算出 さ れます。 ソ ケ ッ ト の設計お よ び構造に よ っ て、 ヒ ー ト シ ン ク と し て機能す る も の も あ り ます。

• [Board Selection] および [# of Board Layers] : ボー ド のおおよ そのサ イ ズ と ス タ ッ ク を選択する と 、 ボー ド 自体の 熱伝導性を考慮す る こ と に よ り 、 有効 ΘJB の算出に役立ち ます。

X-Ref Target - Figure 2-3

(18)

第 2 章 : 消費電力の見積も り : 初期評価段階

• [ΘJB] : ボー ド およびシ ス テ ムの よ り 正確な温度モデルが存在する場合は、 FPGA か ら の放熱量を指定する ため に ΘJB (プ リ ン ト 回路基板 の熱抵抗) を使用する必要があ り ます。

よ り 正確な カ ス タ ム [ΘJB] を指定する と 、 ジ ャ ン ク シ ョ ン温度が よ り 正確に見積 も ら れ、 デバ イ ス の ス タ テ ィ ッ ク 消費電力を よ り 正確に算出で き る よ う にな り ます。

重要: カ ス タ ム [ΘJB] を指定するには、 [Board Selection] を [Custom] に設定する必要があ り ます。 カ ス タ ム [ΘJB] を 指定す る 場合は、 正確な消費電力を算出す る ため、[Board Temperature] も 指定する必要があ り ます。

手順 4 : すべての電源に対 し てワース ト ケースの電源電圧を設定

デフ ォ ル ト では、 デバ イ ス の各電圧レールは公称値に設定 さ れます。 正確な消費電力見積 も り を得 る ためには、 FPGA デバ イ ス での ワース ト ケース の値 (最大電圧値) を指定する必要があ り ます。 こ れは通常、 各レールへの電源 お よ びレ ギ ュ レー タ の公称出力値 と 許容誤差を使用 し て算出 さ れます。 特に未調整の電源で IR (電圧) が大き く 低下 す る 可能性があ る 場合は、 電圧降下を最大電圧の計算に含め る 必要があ り ます。 一部の VCCO ま たは MGT 電源を使用 し ない場合は、 こ れ ら の電源の値はデフ ォル ト の ま まに し て く だ さ い (図2-4)。 X-Ref Target - Figure 2-4

(19)

第 2 章 : 消費電力の見積も り : 初期評価段階

手順 5 : ク ロ ッ ク および リ ソ ース情報の入力

デザ イ ン を Vivado ツールで既に実行 し てい る場合や、 デザ イ ンの前 リ ビ ジ ョ ンがあ り 解析の開始点 と し て使用で き る 場合は、 デザ イ ンの XPower エ ク ス ポー ト フ ァ イ ル (.xpe) を XPE に イ ンポー ト し て リ ソ ース情報を入力で き ます。 こ れには、[Summary] シー ト で [Import File] ボ タ ン を ク リ ッ ク し ます。 Vivado XPE イ ンポー ト フ ァ イ ルを読み込んだ 場合で も 、 デー タ が正 し い こ と を確認 し て く だ さ い。 イ ン ポー ト し た情報は、 完全な情報ではな く 、 情報を入力す る ための開始点 と 考え て く だ さ い。 各 リ ソ ース の タ ブを確認 し て、 デザ イ ンで使用 さ れ る リ ソ ース を入力 し て く だ さ い。

注記 : XPE では、 消費電力値が入力 さ れたセルは小数点 3 桁の値 (例 : 0.000) で表示 さ れます。 3 桁で丸め ら れ る の は、Microsoft Excel の動作に基づいています。 1mW 未満の値は 0.000W と 表示 さ れます。 セルを コ ピー し て [User] シー ト に貼 り 付け る と 、 桁が調整 さ れた実際の値を確認で き ます。

• ク ロ ッ ク ツ リ ーの消費電力 ([Clock Tree Power])

[Clock] シー ト では、 ク ロ ッ ク 、 周波数 ([Frequency])、 使用 さ れる ク ロ ッ ク リ ソ ース を入力 し ます

(図2-5)。 使用 さ れる ク ロ ッ ク リ ソ ース が不明な場合は、 [Type] をデフ ォル ト の [Global] の ま ま に し て く だ さ い。 こ の時点では、 フ ァ ン ア ウ ト ([Fanout]) を気にする必要はあ り ません。 フ ァ ン ア ウ ト については、 手順 6 で説明 し ます。[Clock Buffer Enable] は [100%]、 [Slice Clock Enable] は [50%] のデフ ォ ル ト 値の ま ま に し ます。

• ロ ジ ッ ク の消費電力 ([Logic Power])

[Logic] シー ト では、 使用 さ れ る ス ラ イ ス リ ソ ース の見積 も り (数) を入力 し ます (図2-6)。 [LUTs as] 列には、 演 算ま たは ロ ジ ッ ク に使用 さ れ る LUT 数 ([Logic])、 SRL と し て コ ン フ ィ ギ ュ レーシ ョ ン さ れ る LUT 数 ([Shift Registers])、 メ モ リ と し て コ ン フ ィ ギ ュ レーシ ョ ン さ れる LUT 数 (Distributed RAMs]) を入力 し ます。 [Registers] 列には、 デザ イ ンに コ ン フ ィ ギ ュ レーシ ョ ン さ れ る レ ジ ス タ ま たは ラ ッ チの数を入力 し ます。 異な る ロ ジ ッ ク フ ァ ン ク シ ョ ン ま たは特性 ( ク ロ ッ ク ス ピー ド 、 ト グル レー ト な ど) に個別の行を使用 し て く だ さ い。 X-Ref Target - Figure 2-5

(20)

第 2 章 : 消費電力の見積も り : 初期評価段階 FPGA 設計の初期段階では こ れ ら の リ ソ ース の正確な数を入手する こ と は困難なので概算値を使用 し、 設計プ ロ セ ス の進行に応 じ て値を更新 し て よ り 正確な見積 も り 値が得 ら れ る よ う に し ます。 ヒ ン ト : ク ロ ッ ク周波数情報を入力する際は、 Excel のセルを関連付け る機能を使用し、 [Clock] シー ト に入力さ れて い る セル と 関連付け ます。 こ れには、[Logic] シー ト で [Clock (MHz)] セルを選択 し、 「=」 と 入力し て、 その ロ ジ ッ ク の ク ロ ッ ク ソ ース に関連する セルを [Clock] シー ト で選択 し ます。 こ れで、 そのセルに [Clock] シー ト の値が自動的 に入力 さ れ る よ う にな り ます。 こ の よ う にす る と 、 仕様の変更や消費電力 と 周波数の ト レー ド オ フ を調べ る 際な ど、 ク ロ ッ ク 周波数を変更す る 必要があ る 場合に、 値を 1 箇所で変更する だけですみます。 ま た、 データ の入力 ミ ス も 避け る こ と がで き ます。

• I/O の消費電力 ([I/O Power])

[IO] シー ト を入力する と 、 チ ッ プのすべての レールの全体的な見積 も り 値が正確な も の と な り ます (図2-7)。 選 択 し た I/O 規格および I/O 回路に よ っ て、 VCCO レールだけでな く 、 VCCINT お よび VCCAUX レールで も 多量の電 力が消費 さ れ る 可能性があ り ます。 多 く の場合、 各デバ イ ス イ ン ターフ ェ イ ス を個別に入力 し、 ま た イ ン ター フ ェ イ ス信号をデー タ 、 制御、 ク ロ ッ ク 信号に分割す る のが最 も 簡潔です。 こ の よ う にす る と 、 異な る I/O 規 格、 ロ ー ド や ト グル レー ト な どのその他の I/O 特性を指定 しやす く な り ます。

推奨: XPE で [Add Memory Interface] を ク リ ッ ク し、 [XPE Memory Interface Configuration] ダ イ ア ロ グ ボ ッ ク ス を使用 す る と 、 複雑な メ モ リ イ ン ターフ ェ イ ス に関連する I/O を簡単に追加で き ます。

X-Ref Target - Figure 2-6

(21)

第 2 章 : 消費電力の見積も り : 初期評価段階

I/O の電流の算出では、 標準ボー ド ト レースおよび終端が適用 さ れてい る と 想定 し た消費電力見積 も り が使用 さ れます。

ヒ ン ト : 差動 I/O を使用し ている場合、 各入力および出力はペア と し て指定する必要があ り ます。 スプレ ッ ド シー ト の 2 つの入力を指定 し て 1 つの差動入力を表す こ と は し ないで く だ さ い。

DDR 規格な どの複雑な規格のデータ を入力する には、 [XPE Memory Interface Configuration] ダ イ ア ロ グ ボ ッ ク ス を使用す る と 簡単です (図2-8)。 こ のダ イ ア ロ グ ボ ッ ク ス で関連する オプシ ョ ン を入力する と 、 [IO] シー ト の該 当す る 行に情報が入力 さ れます。

X-Ref Target - Figure 2-7

図 2-7 : [IO] シー ト

X-Ref Target - Figure 2-8

(22)

第 2 章 : 消費電力の見積も り : 初期評価段階

• ブ ロ ッ ク RAM の消費電力 ([Block RAM Power])

[BRAM] シー ト (図2-9) では、 デザ イ ンで使用する ブ ロ ッ ク RAM (BRAM) の数 と 設定を入力 し ます。 [Enable Rate] を ENA ま たは ENB ポー ト が イ ネーブルであ る時間の割合に変更し て く だ さ い。 RAM が イ ネーブルの時間 はダ イ ナ ミ ッ ク 消費電力に直接比例す る ので、 ブ ロ ッ ク RAM の消費電力を正し く 見積 も る には、 こ のパ ラ メ ー タ ーに適切な値を入力す る こ と が重要です。

BRAM の [Mode] の設定が消費電力見積 も り にどの よ う に影響する かについては、 『Xilinx Power Estimator ユー ザー ガ イ ド 』 (UG440) [参照 4] の 「Setting BRAM Mode for Improved Accuracy」 (BRAM モー ド の設定に よ る精度の 向上) を参照し て く だ さ い。

推奨: XPE で [Add Memory] を ク リ ッ ク し、 [XPE Memory Configuration] ダ イ ア ロ グ ボ ッ ク ス を使用する と 、 デザ イ ン のブ ロ ッ ク RAM を簡単に追加で き ます。

• UltraRAM の消費電力 ([UltraRAM Power])

[URAM] シー ト (図2-10) にデザ イ ンに使用 さ れる URAM の数 と 設定を入力 し ます。 ダ イ ナ ミ ッ ク 消費電力に最 も 影響す る 可能性のあ る 設定 ([Cascade Group Size]、 [Input Toggle Rate]、 [Output Toggle Rate]、 [Enable Rates]、 [Write Enable] な ど) には現実的な値を使用 し て く だ さ い。

URAM 消費電力の詳細は、 『Xilinx Power Estimator ユーザー ガ イ ド 』 (UG440) [参照 4] を参照し て く だ さ い。

• DSP の消費電力 ([DSP Power])

[DSP] シー ト を入力 し ます。 DSP ブ ロ ッ ク は、 カ ウ ン ター、 バレル シ フ ター、 MUX、 その他の一般的な機能な ど、 乗算器以外の目的で も 使用で き ます。

• ク ロ ッ ク マネージ ャーの消費電力 ([Clock Manager Power])

デザ イ ンで MMCM ま たは PLL が使用 さ れてい る場合は、 [CLKMGR] シー ト でその使用法 と 設定を指定 し ます。 X-Ref Target - Figure 2-9

図 2-9 : [BRAM シー ト

X-Ref Target - Figure 2-10

(23)

第 2 章 : 消費電力の見積も り : 初期評価段階

• ト ラ ン シーバーの消費電力 ([GT Power])

デザ イ ンで GT (シ リ アル ト ラ ン シーバー ) が使用 さ れてい る場合は、 [GT] シー ト でその使用法 と 設定を指定 し ます。

推奨: [Add GTX Interface] ボ タ ン を ク リ ッ ク し、 [XPE Transceiver Configuration] ダ イ ア ロ グ ボ ッ ク ス を使用する と 、 デー タ を簡単に正確に入力で き ます (図2-11)。

手順 6 : ト グルおよび接続パラ メ ー タ ーの設定

[Toggle Rate]、 [Average Fanout]、 ま たは [Enable Rate] を含むタ ブで、 こ れ ら の値を確認 し ます。 ト グル レー ト および イ ネーブル レー ト がわかっ ていない場合は、 デフ ォル ト 値の ま ま にする こ と をお勧め し ますが、 デフ ォ ル ト 値がデ ザ イ ンの特性を表 し ていない場合は、 必要に応 じ て変更 し て く だ さ い。 た と えば、 メ モ リ イ ン ターフ ェ イ ス に イ ン タ ーフ ェ イ ス上の ト グル レー ト を高 く 保つ ト レーニン グ パ ターン ルーチンがあ る こ と がわかっ てい る場合は、 こ れ を反映 し て ト グル レー ト を高 く し ます。 ま た、 回路の ク ロ ッ ク イ ネーブルが回路全体のア ク テ ィ ビ テ ィ を削減する よ う に指定 さ れてい る 場合は、 ト グル レー ト を低 く し ます。 ト グル レー ト を決定する方法の詳細は、 『Xilinx Power Estimator ユーザー ガ イ ド 』 (UG440) [参照 4] を参照 し て く だ さ い。 ク ロ ッ ク フ ァ ン ア ウ ト の最 も 簡単な指定方法は、 特定の ク ロ ッ ク ド メ イ ンにあ るすべての同期エ レ メ ン ト を加算す る 式を作成す る こ と です。 た と えば、 あ る ク ロ ッ ク の [Fanout] セルを選択 し、 「=SUM(」 と 入力し て、 その ク ロ ッ ク が供給 さ れ る 同期エ レ メ ン ト (BRAM、 フ リ ッ プフ ロ ッ プ、 シ フ ト レ ジ ス タ、 SelectRAM な ど) の数を指定する セル をすべて選択 し ます。 選択 し 終わっ た ら 閉 じ かっ こ を入力 し ます。 こ れで、[Fanout] セルに適切な値が入力 さ れる よ う にな り ます。 ク ロ ッ ク フ ァ ン ア ウ ト を こ の よ う に入力する と 、 簡単であ る だけでな く 、 リ ソ ース数が変更 さ れた 場合に自動的にア ッ プデー ト さ れます。 最終的な式は、 次の よ う にな り ます。

=SUM(LOGIC!I12:I15, BRAM!E10:E12, DSP!E8, CLKMGR!E10:E12)

ロ ジ ッ ク の フ ァ ン ア ウ ト では、 デー タ パスおよび制御パス の特性を考慮する必要があ り ます。 DSP デザ イ ン な ど、 シーケ ン シ ャ ル データ パス が適切に構築 さ れてい るデザ イ ンでは、 フ ァ ン ア ウ ト は通常デフ ォル ト 値 よ り も 小 さ く な り ます。 エンベデ ッ ド デザ イ ン な ど、 データ実行パス が多数あ るデザ イ ンでは、 フ ァ ン ア ウ ト はデフ ォ ル ト 値よ り も 大 き く な る こ と があ り ます。 ト グル レー ト と 同様、 こ の情報がない場合は、 デフ ォル ト 値の ま ま に し、 後で必 要に応 じ て調整 し ます。

X-Ref Target - Figure 2-11

(24)

第 2 章 : 消費電力の見積も り : 初期評価段階

I/O の [Output Load] には、 各デザ イ ン出力の単純な容量性負荷を入力し ます。 こ の値は、 駆動 さ れる出力のダ イ ナ ミ ッ ク 消費電力に影響 し ます。[Output Load] の値は、 主にその出力に接続 さ れてい る各デバ イ ス の入力容量の合計 か ら 求め ら れます。 入力容量は通常、FPGA I/O が接続 さ れてい るデバ イ ス のデータ シー ト に記載 さ れています。

手順 7 : 結果の解析

結果を解析す る 前に、 必要に応 じ て手順 1 ~ 6 を実行 し て く だ さ い。 こ れ ら の手順を完了 し た ら 、 結果を解析 し ま す。 ジ ャ ン ク シ ョ ン温度が範囲を超え てお ら ず、 消費電力がプ ロ ジ ェ ク ト で割 り 当て ら れてい る 消費電力を超え て いない こ と を確認 し て く だ さ い。 放熱ま たは電力特性が指定範囲外の場合は、 適切な結果が得 ら れ る よ う に環境特 性 (エア フ ロー、 ヒ ー ト シ ン ク な ど) を調整する か、 デザ イ ンの リ ソ ースおよび電力特性を調整 し て く だ さ い。 消費 電力を抑え て必要な機能を達成で き る よ う にす る ため、 ト レー ド オ フ を考慮 し ます。 ト レー ド オ フ のオプシ ョ ンは、 設計プ ロ セ ス の初期段階で試すのが最適です。 デー タ の入力が完了 し てデバ イ ス が選択 し た グ レー ド の制限温度範 囲内で動作 し てい る 場合は、XPE で レ ポー ト さ れた消費電力を使用 し て、 デザ イ ンの電源レールを決定で き ます。 入力 し たデー タ の信頼性が高 く ない場合は、FPGA の消費電力シ ス テ ム を過小設計 し ない よ う に、 数値を多少水増 し す る こ と が可能です。 ただ し 、 デー タ が十分に信頼で き る 場合は、 ツールで レ ポー ト さ れた数値を水増 し す る 必要 はあ り ません。 設計プ ロ セ ス の進行に応 じ て ス プ レ ッ ド シー ト の情報を確認 し て更新 し 、 最新の要件お よ び イ ンプ リ メ ン テーシ ョ ンの詳細が使用 さ れ る よ う に し ます。 こ の よ う にす る こ と でデザ イ ンの消費電力の最新の状態がわか り 、 消費電力 要件の調整が必要か ど う か を早期に判断で き ます。

デザ イ ン サ イ ク ルの後半でデザ イ ンの消費電力を評価する方法は第3 章 「消費電力の見積 も り : Vivado Design Suite

でのデザ イ ン フ ロー段階」 を、 デザ イ ンの消費電力を削減す る 手法は第6 章 「消費電力削減のための ヒ ン ト および

(25)

第 3 章

消費電力の見積も り : Vivado Design Suite で

のデザイ ン フ ロー段階

概要

こ の章では、 デザ イ ン フ ロー段階での消費電力見積 も り を自動的に簡単に実行で き る Vivado® Design Suite の機能を 説明 し ます。Vivado Design Suite で消費電力見積 も り を生成 し、 解析 し た ら 、第6 章 「消費電力削減のための ヒ ン ト

お よ び手法」 に進み、 デバ イ ス の消費電力を最小限に抑え る ためにシ ス テ ム を調べて変更す る 手法を学びます。

消費電力見積も り

デザ イ ン フ ローが合成および イ ンプ リ メ ン テーシ ョ ン段階に進行する のに伴い、 消費電力を定期的に調べ、 放熱量 が要件の範囲内に収ま っ てい る こ と を確認 し て、 制約に近づいてい る エ リ アがあ る 場合に早期に発見 し て対処で き る よ う にす る 必要があ り ます。 消費電力見積 も り の精度は、 デザ イ ンの段階に よ っ て異な り ます。

Vivado IDE での消費電力の見積も り

こ のセ ク シ ョ ンでは、Vivado IDE の [Report Power] コ マン ド を使用 し た消費電力解析について説明し ます。 こ こ で は、 合成後に初めて消費電力解析を設定す る こ と を想定 し てお り 、 ツールにア ク テ ィ ビ テ ィ 情報を入力 し ます。 後 続の run では、 Vivado IDE の [Report Power] コ マン ド を使用 し て消費電力レ ポー ト を表示する か、 ま たは同等の Tcl コ マ ン ド (report_power) を使用し て、 Vivado IDE を使用せずにテ キ ス ト 形式の消費電力レ ポー ト を表示する かを 選択で き ます。

(26)

第 3 章 : 消費電力の見積も り : Vivado Design Suite でのデザイ ン フ ロー段階

ユーザー入力の指定

特定の ノ ー ド のア ク テ ィ ビ テ ィ は、 シ ス テ ムの仕様ま たは FPGA が通信する イ ン ターフ ェ イ ス に よ り 決定 さ れる の で、 ど のデザ イ ンで も 通常は既知です。 推奨: 特に FPGA の複数のセルを駆動する ノ ー ド (セ ッ ト 、 リ セ ッ ト 、 ク ロ ッ ク イ ネーブル、 ク ロ ッ ク信号) に関し て ノ ー ド ア ク テ ィ ビ テ ィ を ツールに供給する と 、 消費電力見積 も り に有益です。 こ れ ら の ノ ー ド には、 次が含まれます。 • ク ロ ッ ク ア ク テ ィ ビ テ ィ すべての FPGA ク ロ ッ ク ド メ イ ンの正確な周波数、 および外部か ら 供給 さ れる のか (入力ポー ト )、 内部で生成 さ れ る のか、 ま たは外部か ら プ リ ン ト 回路基板に供給 さ れ る のか (出力ポー ト ) を入力 し ます。 デザ イ ンには、 create_clock を使用 し て指定 し た ク ロ ッ ク が少な く と も 1 つ含まれてい る必要があ り ます。 ク ロ ッ ク が定義 さ れていない場合、[Report Power] を実行する と 警告 メ ッ セージが表示 さ れ、 ス イ ッ チン グ ア ク テ ィ ビ テ ィ の算出に 10GHz ク ロ ッ ク 周波数が使用 さ れます。

X-Ref Target - Figure 3-1

(27)

第 3 章 : 消費電力の見積も り : Vivado Design Suite でのデザイ ン フ ロー段階 • I/O デー タ ポー ト FPGA に対するデータ の入出力のプ ロ ト コ ルおよびフ ォーマ ッ ト がわかっ てい る と 、 通常はツールで少な く と も 一部の I/O に対 し て信号の遷移レー ト や信号の ス タ テ ィ ッ ク 確率を指定で き ます。 た と えば、 プ ロ ト コ ルに DC バ ラ ン ス要件 (信号の ス タ テ ィ ッ ク 確率が 50%) があ る場合や、 メ モ リ イ ン ターフ ェ イ スへのデータ の書き込み お よ び メ モ リ イ ン ターフ ェ イ ス か ら のデータ の読み出 し頻度がわかっ てい る場合は、 ス ト ローブ信号および デー タ 信号のデー タ レー ト を設定で き ます。 プ ラ イ マ リ 入力にユーザー ア ク テ ィ ビ テ ィ レー ト が指定 さ れていない場合、 [Report Power] を実行する と デフ ォ ル ト の ス タ テ ィ ッ ク 確率 0.5 と デフ ォル ト の ト グル レー ト 12.5% が割 り 当て ら れます。 • I/O お よび内部制御信号 シ ス テ ムお よ びその機能か ら 、 セ ッ ト 、 リ セ ッ ト 、 ク ロ ッ ク イ ネーブルな どの制御信号のア ク テ ィ ビ テ ィ を予 測で き る 場合があ り ます。 こ れ ら の信号は通常、 デザ イ ン ロ ジ ッ ク の広範囲部分をオン、 オ フにで き る ので、 こ のア ク テ ィ ビ テ ィ 情報を供給す る と 消費電力見積 も り の精度が上が り ます。 プ ラ イ マ リ 入力が リ セ ッ ト であ る (順次エ レ メ ン ト の RESET ピ ンに直接接続 さ れてい る) 場合は、 デフ ォル ト の ス タ テ ィ ッ ク 確率 0 と デフ ォ ル ト の信号レー ト 0 が割 り 当て ら れます。 同様に、 プ ラ イ マ リ 入力が ク ロ ッ ク イ ネーブルであ る (順次エ レ メ ン ト の CE ピ ンに直接接続 さ れてい る) 場合は、デフ ォ ル ト の ス タ テ ィ ッ ク 確率 0.99 と デフ ォ ル ト の信号レー ト 2 が割 り 当て ら れます。 重要: ベク ターレ スの消費電力見積も り では、 GT の出力ポー ト にア ク テ ィ ビテ ィ は伝搬さ れません。 デザ イ ン ロ ジ ッ ク がア ク テ ィ ビ テ ィ レー ト に よ っ て異な る場合、 set_switching_activity -type gt_tx|gt_rx コ マン ド で GT 出力のア ク テ ィ ビ テ ィ レー ト を明示的に指定 し て、 正確な解析が実行 さ れる よ う にする必要があ り ます。

ベ ク タ ー (SAIF) ベースの消費電力見積も り

通常は、 デザ イ ン開発の各段階でシ ミ ュ レーシ ョ ン を実行 し 、 デザ イ ンが要件どお り に動作す る かを検証 し ます。 デザ イ ンの開発段階、 複雑性、 ま たは企業の方針に応 じ て、 さ ま ざ ま な検証手法があ り ます。 次に、 取得可能な有 益なデー タ と 、 こ れ ら のデー タ を使用 し て消費電力を解析す る 際に犯 し やすい ミ ス について説明 し ます。 正確な消 費電力見積 も り を実行す る には、 デザ イ ンのア ク テ ィ ビ テ ィ レー ト が現実的な も のであ る必要があ り ます。 ア ク テ ィ ビ テ ィ レー ト は、 シ ミ ュ レーシ ョ ン さ れる ブ ロ ッ ク に入力 さ れるデータ の通常の動作ま たは ワース ト ケース の 動作を示す必要があ り ます。 こ の よ う な情報は、 検証や機能の確認中には必ず し も 供給 さ れません。 無効なデー タ が供給 さ れたために、 無効なデー タ や コ マ ン ド が入力 さ れた と き で も 、 シ ス テ ムが問題な く 処理 し て安定 し た状態 を保持す る こ と がで き る と 検証 さ れて し ま う 場合 も あ り ます。 こ の よ う なテ ス ト ケース を使用 し て消費電力解析を 実行す る と 、 デザ イ ン ロ ジ ッ ク に通常のシ ス テ ム動作状況 と 同 じ よ う に入力が供給 さ れないため、 消費電力見積 も り が不正確にな り ます。 • シ ス テ ム ト ラ ンザ ク シ ョ ン レベル デザ イ ン サ イ ク ル初期に、 PCB 上のデバ イ ス間ま たは FPGA アプ リ ケーシ ョ ンの異な る フ ァ ン ク シ ョ ン間で発 生す る ト ラ ンザ ク シ ョ ン を記述 し てい る 場合があ り ます。 こ の記述か ら 、 特定の I/O ポー ト およびほ と んどの ク ロ ッ ク ド メ イ ンのア ク テ ィ ビ テ ィ を フ ァ ン ク シ ョ ン ブ ロ ッ ク ご と に抽出で き ます。 こ の情報は、 Xilinx Power Estimator ス プ レ ッ ド シー ト の入力の際に役立ち ます。 • FPGA 記述レベル アプ リ ケーシ ョ ンの RTL を定義する際は、 ビヘ イ ビ アー シ ミ ュ レーシ ョ ン を実行 し て機能を検証する必要があ る 場合があ り ます。 こ れは、 デー タ フ ローおよび ク ロ ッ ク サ イ ク ルに対する計算の有効性を検証する のに役立 ち ます。 こ の段階では、 使用 さ れ る FPGA リ ソ ース数およびコ ン フ ィ ギ ュ レーシ ョ ンは確定 し てい ません。 リ ソ ース使用量を推定 し て、I/O ポー ト ま たは内部制御信号 (セ ッ ト 、 リ セ ッ ト 、 ク ロ ッ ク イ ネーブル) のア ク テ ィ ビ テ ィ を抽出で き ます。 こ の情報を Xilinx Power Estimator ス プレ ッ ド シー ト に適用する と 、 結果が向上 し ます。

シ ミ ュ レー タ で ノ ー ド ア ク テ ィ ビ テ ィ を抽出 し、 SAIF フ ァ イ ル フ ォーマ ッ ト でエ ク ス ポー ト で き ます。 こ の フ ァ イ ルは、Vivado デザ イ ン フ ローで よ り 正確な消費電力解析を実行する ために保存で き ます。 た と えば、 イ ンプ リ メ ン テーシ ョ ン後のシ ミ ュ レーシ ョ ン を実行す る つ も り がない場合な どは、 配置配線後に こ の フ ァ イ ル を使用で き ます。

(28)

第 3 章 : 消費電力の見積も り : Vivado Design Suite でのデザイ ン フ ロー段階

• FPGA イ ンプ リ メ ン テーシ ョ ン レベル

イ ンプ リ メ ン テーシ ョ ン プ ロ セ ス の異な る段階でシ ミ ュ レーシ ョ ン を実行 し、 消費電力に関連する さ ま ざ ま な 情報を取得で き ます。 こ の追加情報を使用 し て、Xilinx Power Estimator ス プ レ ッ ド シー ト および Vivado 消費電 力解析の結果を向上で き ます。 ま た、I/O ポー ト および特定のモジ ュールのア ク テ ィ ビ テ ィ を保存し 、 合成後、 配置後、 配線後に Vivado 消費電力解析機能で再利用で き ます。

° 合成後 : ネ ッ ト リ ス ト が ターゲ ッ ト デバ イ ス で使用可能な実際の リ ソ ース にマ ッ プ さ れます。

° 配置後 : ネ ッ ト リ ス ト コ ンポーネ ン ト が実際のデバ イ ス リ ソ ース に配置 さ れます。 こ のパ ッ ク 情報に よ り 最終的な ロ ジ ッ ク リ ソ ース数および設定がわか る ので、 Xilinx Power Estimator ス プレ ッ ド シー ト で情報を 更新で き ます。 ° 配線後 : 配線が完了する と 、 使用 さ れる配線 リ ソ ース に関するすべての詳細およびデザ イ ンに含まれ る各パ ス の正確な タ イ ミ ン グ情報が定義 さ れます。 シ ミ ュ レー タ では、 イ ンプ リ メ ン ト さ れた回路の機能をベス ト ケースおよびワース ト ケース のゲー ト および配線遅延で検証する こ と に加え、 グ リ ッ チを含む内部 ノ ー ド の正確な ア ク テ ィ ビ テ ィ が レ ポー ト さ れます。 こ の レベルの消費電力解析では、 プ ロ ト タ イ プのボー ド で消費電力を実際に計測す る 前に最 も 正確な消費電力が得 ら れます。

ベ ク タ ーレ スの消費電力見積 も り

デザ イ ン ノ ー ド のア ク テ ィ ビ テ ィ がユーザーま たはシ ミ ュ レーシ ョ ン結果か ら 供給 さ れない場合、 ベ ク ターレ ス消 費電力見積 も り アルゴ リ ズ ムで こ のア ク テ ィ ビ テ ィ を推測で き ます。 詳細は、40 ページの 「ベ ク ターレ ス見積 も り 」 を参照 し て く だ さ い。

解析用ス イ ッ チ ン グ ア ク テ ィ ビ テ ィ の指定

• シ ミ ュ レーシ ョ ン結果 (SAIF フ ァ イ ル)

Vivado の [Report Power] コ マン ド では、 デザ イ ン データベース内のネ ッ ト を シ ミ ュ レーシ ョ ン結果のネ ッ ト リ ス ト 内の名前 と 一致 さ せます。 シ ミ ュ レーシ ョ ン結果のネ ッ ト リ ス ト は SAIF (Switching Activity Interchange Format) フ ァ イ ルです。 一致 し たネ ッ ト すべてに ス イ ッ チン グ ア ク テ ィ ビ テ ィ と ス タ テ ィ ッ ク 確率が適用 さ れ、 デザ イ ンの消費電力が算出 さ れます。 シ ミ ュ レーシ ョ ン結果は、 合成前や配置配線の前のデザ イ ン フ ロー早期 に生成 さ れてい る 場合があ り ます。 こ の場合、 シ ミ ュ レーシ ョ ン結果か ら モジ ュ ールの I/O ポー ト のア ク テ ィ ビ テ ィ のみを キ ャ プチ ャ し て、 ベ ク タ ーレ ス エン ジ ンで内部 ノ ー ド のア ク テ ィ ビ テ ィ を見積 も る よ う に し ます。 論理シ ミ ュ レーシ ョ ンでは、 グ リ ッ チ ア ク テ ィ ビ テ ィ はキ ャ プチ ャ さ れません。 ま た、 イ ンプ リ メ ン テーシ ョ ン中の ロ ジ ッ ク 変換 (最適化、 複製、 ゲーテ ィ ン グ、 リ タ イ ミ ン グな ど) のため、 [Report Power] コ マン ド でデザ イ ン と シ ミ ュ レーシ ョ ン ネ ッ ト リ ス ト 間で一部の ノ ー ド を一致で き ない こ と があ り ますが、 ほ と んどのプ ラ イ マ リ ポー ト および制御信号は一致する ので、 一致 し た ノ ー ド に対 し ては現実的なア ク テ ィ ビ テ ィ が供給 さ れま す。 ア ク テ ィ ビ テ ィ は、 ベ ク タ ーレ ス エン ジ ンに よ り 不一致のデザ イ ン部分に伝搬 さ れる ので、 消費電力見積 も り の精度が上が り ます。 次の よ う な タ イ プのシ ミ ュ レーシ ョ ン結果を使用 し て く だ さ い。 ° シ ミ ュ レーシ ョ ンへのテ ス ト ベ ク ターおよび入力が、 デザ イ ンの典型的な動作ま たは意図 し た動作を表 し てい る こ と を確認 し ます。 エ ラ ー処理お よ び コ ーナー ケース (稀に し か発生 し ないケース) のシ ミ ュ レー シ ョ ンでは、 通常の動作条件で ロ ジ ッ ク がシ ミ ュ レーシ ョ ン さ れません。 ° イ ンプ リ メ ン テーシ ョ ン後のシ ミ ュ レーシ ョ ン結果の方が、 ビヘ イ ビ アー シ ミ ュ レーシ ョ ン結果よ り も 好 まれます。 完全な タ イ ミ ン グ シ ミ ュ レーシ ョ ンの方が、 タ イ ミ ン グ グ リ ッ チ情報が SAIF 結果に取 り 込ま れ る ので、 よ り 正確にな り ます。 重要: [Report Power] では、 ベク ターレ ス アルゴ リ ズムおよびデフ ォル ト のス イ ッ チング レー ト を使用し て、 指定し た SAIF フ ァ イ ル と 一致 し ないデザ イ ン ネ ッ ト のア ク テ ィ ビ テ ィ が計算 さ れます。 こ のため、 消費電力レ ポー ト で ト グルレー ト が異な り 、 最終的には XPE に反映 さ れます。 タ イ ミ ン グ シ ミ ュ レーシ ョ ンがサポー ト さ れる のは Verilog だけなので、VHDL で生成 さ れた .saif フ ァ イ ルを使用する こ と はお勧め し ません。

(29)

第 3 章 : 消費電力の見積も り : Vivado Design Suite でのデザイ ン フ ロー段階

重要: Vivado IDE の [Report Power] ダ イ ア ロ グ ボ ッ ク スの [Switching] タ ブで [Simulation activity file (.saif)] フ ィ ール ド に SAIF フ ァ イ ル名を指定 し て SAIF シ ミ ュ レーシ ョ ン出力フ ァ イ ルを読み込み、 一致する ネ ッ ト リ ス ト エ レ メ ン ト に フ ァ イ ルに記述 さ れてい る ス イ ッ チン グ ア ク テ ィ ビ テ ィ を ア ノ テー ト し て く だ さ い。 ま たは、 Tcl コ マン ド の read_saif を使用し て SAIF シ ミ ュ レーシ ョ ン出力フ ァ イ ルを読み込みます。

使用モデルすべてについては、 『Vivado Design Suite ユーザー ガ イ ド : 消費電力の解析 と 最適化』 (UG907) [参照 5] を 参照 し て く だ さ い。

重要: 消費電力解析用の SAIF フ ァ イルを Vivado シ ミ ュ レータ か ら生成するには、『Vivado Design Suite ユーザー ガ イ ド : ロ ジ ッ ク シ ミ ュ レーシ ョ ン』 (UG900) [参照 6] を参照 し て く だ さ い。

Vivado® Design Suite 内で Mentor Graphics 社の ModelSim シ ミ ュ レータ か ら SAIF フ ァ イ ルを生成 し て消費電力を解析 す る 場合は、 ア ンサー 53544 を参照し て く だ さ い。 完全な タ イ ミ ン グ シ ミ ュ レーシ ョ ンには、 write_sdf コ マン ド を使用 し てデザ イ ン タ イ ミ ン グ シ ミ ュ レーシ ョ ン 情報 (SDF) フ ァ イ ルを生成し 、 シ ミ ュ レーシ ョ ン実行中にア ノ テー ト し ます。 • 既知のエ レ メ ン ト アプ リ ケーシ ョ ンの動作の情報は、 入力フ ァ イ ルで定義 さ れていないア ク テ ィ ビ テ ィ を定義す る 際に役立つの で、 こ の手順はデザ イ ンのダ イ ナ ミ ッ ク 消費電力を算出す る のに重要です。 ° I/O ア ク テ ィ ビ テ ィ I/O イ ン ターフ ェ イ ス のデータ パ ターンがわかっ てい る場合は、 こ のア ク テ ィ ビ テ ィ ( ト グル/信号レー ト お よ びス タ テ ィ ッ ク 確率 (% High)) を Vivado IDE の [Properties] ウ ィ ン ド ウ の [Power] ビ ューま たは Tcl コ マン ド set_switching_activity で指定し ます。 ス プレ ッ ド シー ト な ど別のツールで電源ご と の総消費電力 を算出 し ていない場合、 出力の終端方法を指定 し て、[Report Power] コ マン ド で FPGA の電源か ら こ れ ら の 外部 コ ン ポーネ ン ト に供給す る 電力量が含め ら れ る よ う に し ます。

° 制御信号のア ク テ ィ ビ テ ィ

[Report Power] コ マン ド を実行する と 、 すべての制御信号が [Power] ウ ィ ン ド ウ の [Signals] に リ ス ト さ れま す。 アプ リ ケーシ ョ ンの予測 さ れ る 動作か ら 、 一部のセ ッ ト/ リ セ ッ ト 信号が通常のデザ イ ン動作ではア ク テ ィ ブではない こ と な ど がわか る 場合は、 こ れ ら の信号のア ク テ ィ ビ テ ィ を調整す る 必要があ り ます。 同 様に、 アプ リ ケーシ ョ ンに含まれ る 一部の信号に よ り 、 ブ ロ ッ ク が使用 さ れない と き にブ ロ ッ ク 全体が デ ィ ス エーブルにな る 場合があ り ます。 機能に合わせて ア ク テ ィ ビ テ ィ を調整 し て く だ さ い。 合成お よ び 配置配線アルゴ リ ズ ムでは、RTL 記述を最適化する ために制御信号が推論ま たはマ ッ プ し直 さ れ る こ と が あ る ので、 こ れ ら のビ ュ ーに表示 さ れ る 信号に不明な信号が含まれてい る こ と があ り ます。 こ れ ら の信号 が何なのかがわか ら ない場合は、 ツールで こ れ ら のア ク テ ィ ビ テ ィ が決定 さ れ る よ う に し ます。Tcl コ マン ド の report_control_sets を使用する と 、 デザ イ ンの制御信号を取得で き ます。

I/O ア ク テ ィ ビ テ ィ お よび制御信号のア ク テ ィ ビ テ ィ 値の設定方法は、第4 章の 「Vivado IDE での What-If 解析 の実行」 を参照 し て く だ さ い。

図 1-5 : Vivado での消費電力最適化レポー ト
図 2-1 : Xilinx Power Estimator (XPE) の消費電力情報サマ リ
図 2-2 : デバイ ス情報 - 7 シ リ ーズ デバイ スのサマ リ  シー ト
図 2-5 : [Clock] シー ト
+7

参照

Outline

関連したドキュメント

テストが成功しなかった場合、ダイアログボックスが表示され、 Alienware Command Center の推奨設定を確認するように求め

メモ  : 権利の詳細な管理は、 BlackBerry WorkspacesEnterprise ES モード BlackBerry Workspaces およ. び Enterprise ES ( 制限付きフルアクセス )

demonstrate that the error of our power estimation technique is on an average 6% compared to the measured power results.. Once the model has been developed,

With a diverse portfolio of products and services, talented engineering staff with system expertise, a deep understanding of the quality, reliability and longevity requirements

【資料1】最終エネルギー消費及び温室効果ガス排出量の算定方法(概要)

SOLICAM DF can be applied preplant incorporated, preemergence surface, or as a split application (when allowed in specific use directions) in areas with 35 inches or more

解析モデル平面図 【参考】 修正モデル.. 解析モデル断面図(その2)

延床面積 1,000 ㎡以上 2,000 ㎡未満の共同住宅、寄宿舎およびこれらに