• 検索結果がありません。

10. 配線 10-1 スコープ 1994 年の NTRS(National Technology Roadmap for Semiconductor) の配線技術の章では 予想される技術的要求全体を満たすための新しい配線金属と絶縁膜への最初の要求が示された NTRS の1997 年版では Cu を

N/A
N/A
Protected

Academic year: 2021

シェア "10. 配線 10-1 スコープ 1994 年の NTRS(National Technology Roadmap for Semiconductor) の配線技術の章では 予想される技術的要求全体を満たすための新しい配線金属と絶縁膜への最初の要求が示された NTRS の1997 年版では Cu を"

Copied!
28
0
0

読み込み中.... (全文を見る)

全文

(1)

10.配線

10.配線

10.配線

10.配線

10-1 スコープ

10-1 スコープ

10-1 スコープ

10-1 スコープ

 1994 年 の NTRS(National Technology Roadmap for Semiconductor)の配 線 技 術 の章 では、予 想 さ れ る 技 術 的 要 求 全 体 を 満 た す た め の 新 し い 配 線 金 属 と 絶 縁 膜 へ の 最 初 の 要 求 が 示 さ れ た 。 NTRS の 1997 年 版 では、Cu を使 ったチップの開 発 導 入 が差 し迫 っていた。1999 年 版 NTRS では、 かつてないペースで導 入 されつつある新 材 料 の継 続 的 開 発 が注 目 される。さらに、依 然 としてメモリ ーデバイスが最 小 寸 法 を争 うテクノロジードライバーではあるものの、チップ分 類 としてのシステムオン チップ(SoC)の出 現 が増 大 する複 雑 さとデザインルールの縮 小 化 を牽 引 すると予 想 される。当 面 の挑 戦 的 技 術 が挙 げられているが、これらは急 速 に立 ち上 がる材 料 導 入 とそれに伴 う複 雑 さを反 映 して いる。長 期 的 にみると、従 来 型 のスケーリングに伴 う材 料 革 新 ではもはや性 能 要 求 を満 たせなくなっ ている。回 答 は、設 計 ・実 装 の斬 新 な開 発 努 力 とあいまった垂 直 配 線 インテグレイション、あるいは光 とか無 線 (RF)使 った革 新 的 配 線 技 術 の中 にあるであろう。  配 線 あるいはワイヤリングシステムの役 目 はチップ上 のさまざまの回 路 やシステムに、同 期 などの信 号 を伝 達 したり、電 力 とか接 地 ラインを供 給 することである。現 状 の最 先 端 ロジックプロセッサーは 6― 7 層 の高 密 度 配 線 を使 用 しており、メモリーは 3 層 を使 用 している。同 期 と他 の信 号 は 3 種 類 の配 線 で行 われる(ローカル配 線 、中 間 配 線 、グローバル配 線 )。ローカル配 線 というのは、非 常 に薄 い線 で 作 られ、チップ内 の機 能 ブロック(エンベデド ロジックやキャッシュメモリー、アドレス アダー)とか機 能 セル内 でゲートとかトランジスタを接 続 する配 線 である。ローカル配 線 は普 通 幾 つかのゲートと 1 層 目 、 また多 層 配 線 では時 には 2 層 目 の金 属 配 線 を接 続 する。中 間 配 線 は同 期 信 号 とか他 の信 号 を機 能 ブロック内 で 3―4mm の配 線 で伝 える役 目 をする。中 間 配 線 はローカル配 線 より広 く高 くできてお り、より低 い抵 抗 の同 期 と信 号 のための配 線 となる。グローバル配 線 は機 能 ブロック間 の同 期 信 号 と か他 の信 号 を伝 えるほか、電 力 供 給 と接 地 のラインとしてチップ内 の全 てのブロックをつなぐ。グロー バル配 線 は配 線 上 部 の 1 層 目 あるいは 2 層 目 にあり、長 さとしては 4mm を越 えてチップ周 辺 長 の半 分 になることもある。

10-2 技 術 的 要 求

10-2 技 術 的 要 求

10-2 技 術 的 要 求

10-2 技 術 的 要 求

配 線 に対 するより適 切 な記 述 をするために、near term(1999-2005)、long term(2008-2014)での 技 術 的 要 求 お よ び 解 決 策 候 補 が MPU(micro-processor unit),DRAM(dynamic random access memory),SoC(system on a chip)に分 類 して示 されている(Table46-Table48)。MPU に対 しては、 現 在 広 く採 用 されている階 層 的 スケーリング法 を前 提 としており、配 線 ピッチ、アスペクト比 はローカ ル配 線 、中 間 層 配 線 、グローバル配 線 で区 別 して示 している。Cu および Low-K 材 料 の導 入 は特 に中 間 層 のスケーリングを可 能 にし、配 線 遅 延 の影 響 を極 力 少 なくすることに寄 与 する。Fig.27 に将 来 のローカル配 線 、グローバル配 線 の配 線 遅 延 の推 移 を示 す。ローカル配 線 層 での配 線 遅 延 に関 しては、従 来 通 りのスケーリングによる影 響 は比 較 的 少 ない。RC 遅 延 への影 響 はグローバル配 線 に おけるものが支 配 的 で全 体 としての性 能 向 上 のためには、材 料 の変 化 だけでは対 応 できない。グロ ーバル配 線 での配 線 遅 延 を緩 和 するためにはリピータを導 入 することが有 効 であるが、一 方 でリピー

(2)

タの導 入 は消 費 電 力 の増 加 、チップサイズの増 加 を余 儀 なくする。 図 27 ローカル配 線 およびグローバル配 線 のディレイと加 工 寸 法 の関 係  Long term では、従 来 技 術 での性 能 向 上 の限 界 を乗 り越 えるためには、まったく新 しい設 計 手 法 や平 面 ウエーブガイド、自 由 空 間 RF、光 配 線 などの新 しい技 術 的 な解 が必 要 とされる。また、動 作 周 波 数 が高 くなるとインダクティブな効 果 がより重 要 になってくる。ここでは、インダクティブな効 果 をシ ールドするための余 分 な配 線 層 やグランドラインが必 要 とされるかも知 れない。さらに、電 源 電 圧 のス ケーリング(低 電 圧 化 )に伴 い、すべてのクロック配 線 、信 号 線 におけるクロストークが大 きな問 題 とな ってくる。Near term での解 としては、メタル配 線 の配 線 厚 を薄 くし、配 線 間 の容 量 を低 くするという 方 法 がとられる。この方 法 は、Al 配 線 においては配 線 膜 厚 を薄 くすることで抵 抗 を犠 牲 にしなければ ならないが、Al から抵 抗 の低 い Cu にすることでこの犠 牲 を少 なくできる。1997年 版 に比 較 し、1999 年 版 ロードマップでは、配 線 間 の容 量 の低 減 化 を過 剰 な Low-k 膜 の低 誘 電 率 化 によらず、上 記 配 線 膜 厚 を減 少 させる設 計 を考 慮 して作 成 されている。この層 間 膜 の Low-k 化 に対 する要 求 の緩 和 は、インテグレーションが困 難 な Low-k 膜 のダマシーン配 線 への導 入 時 期 に猶 予 を与 えることにな る。 MPU は多 くの配 線 層 数 を用 いる。設 計 者 は、配 線 遅 延 の影 響 をなるべく少 なくなるように、Fig.28 に示 すように一 般 的 に上 層 に行 くほど配 線 ピッチと配 線 膜 厚 を大 きくする階 層 設 計 を行 う。

(3)

図 2 8   階 層 的 ス ケ ー リ ン グ の 断 面 図

グランド電 極 やチップ上 に設 けるデカップリングキャパシタへの要 求 に対 応 するためにさらに配 線 層 数 は増 加 することになる。Cu 配 線 のアスペクト比 は Al に比 較 して小 さくなる。これは、配 線 間 の容 量 を低 減 させることになるが、同 時 に配 線 抵 抗 を上 昇 させる。グローバル配 線 でのアスペクト比 は Al の 場 合 も Cu の場 合 もほぼ同 じ程 度 になると予 想 される。

(4)
(5)

表 46b MPU 配 線 に関 する技 術 的 要 求 - 長 期  DRAM の配 線 技 術 は、Table47 に示 すように、もっとも厳 しい配 線 ピッチ、もっとも高 いコンタクトのア スペ クト 比 を要 求 し、ま たも っと も厳 しい 寸 法 制 御 、欠 陥 制 御 を要 求 す る 。ま た、 DRAM に おい ても RAMBUS のような高 速 のメモリー製 品 に対 応 するために 130nm世 代 からは従 来 の SiO2 に変 わる低 誘 電 率 膜 を、また 100nm世 代 からは Cu 配 線 が要 求 されることになるであろう。DRAM においてはマ ーケットにおける価 格 要 求 との兼 ね合 いであり、Cu 配 線 を用 いることが価 格 を上 昇 させることになれ ばその導 入 が遅 れることもありえる。すなわち、DRAM では、従 来 の Al 配 線 の改 良 と拡 張 が引 き続 き 必 要 となる。 表 47a DRAM の配 線 に関 する技 術 的 要 求 - 短 期

(6)

表 47b DRAM の配 線 に関 する技 術 的 要 求 - 長 期

システム・オン・チップでの技 術 的 要 求 では Table48 に示 すように、垂 直 方 向 のスケールおよび低 誘 電 率 化 は MPU とほぼ同 様 である。SoC の設 計 では、システムクロックが唯 一 の性 能 を支 配 する要 因 とはならないので必 ずしも階 層 的 なスケーリングとはならない。抵 抗 、キャパシタ、インダクタまたは他 の SoC 素 子 のために付 加 的 に必 要 となる配 線 層 や誘 電 体 が記 載 されている。また、DRAM 混 載 や SoC のための受 動 素 子 に対 して特 別 な材 料 やプロセスインテグレーションが要 求 される。

(7)

表 48b SoC の配 線 に関 する技 術 的 要 求 - 長 期  Al配 線 は使 われつづけているものの、SOG などの新 材 料 、CMP などの新 プロセスは世 代 に渡 って 継 続 的 に導 入 されてきた。MPU に関 して言 うなら、1998 年 Cuが高 速 化 の要 求 から導 入 されたが、同 時 にそれは新 材 料 の導 入 を加 速 することとなった。DRAMでは配 線 としては Al、プラグは W が 100n mのノードまで用 いられると予 想 され、これは、高 アスペクトコンタクトや配 線 の組 込 技 術 の改 良 をドラ イブすることになるであろう。  ダマシーンプロセスフローは製 造 方 法 に大 きく依 存 する。Fig.29 にいくつかの典 型 的 な層 間 膜 構 造 を示 す。現 在 行 われている Cu ダマシーン配 線 プロセスでは、PVD を用 いた Ta 系 のバリアメタルと Cu シード層 を用 いているが、更 なるスケーリングを行 うために新 たな拡 散 防 止 材 料 やシード層 の形 成 方 法 を 2002 年 までに開 発 しなければならない。新 たなツールやケミストリーの開 発 により 100nm過 ぎ までもCuめっきが使 われることも考 えられるが、微 細 化 、高 アスペクト化 に対 して、新 たな組 込 技 術 の 開 発 が必 要 と考 えられる。また、配 線 の実 効 的 な抵 抗 を上 昇 させないためにはより薄 いバリアメタル が要 求 される。さらに、Cu めっきのためのシード層 をコンフォーマルに形 成 することはより緊 急 度 の高 い深 刻 な問 題 である。CVD 技 術 によるシード層 の形 成 、バリア性 を持 つ絶 縁 膜 などは中 間 的 な解 で あるが、2008 年 までにはバリア層 の厚 さをゼロにする必 要 がある。  Near term では、層 間 絶 縁 膜 としては低 誘 電 率 化 を、またデカップリングキャパシタにおいては高 誘 電 率 化 、さらに FRAM に対 して残 留 分 極 の増 大 がそれぞれに用 いられる絶 縁 膜 に要 求 される。これ らの絶 縁 膜 の熱 的 、機 械 的 、電 気 的 な特 性 はプロセスインテグレーションに対 してきわめて厳 しい要 求 をする事 となる。また、Long term としては、絶 縁 膜 の高 周 波 での絶 縁 膜 特 性 が重 要 となるであろう。 また、低 損 失 導 波 路 を形 成 するために十 分 な光 学 特 性 を有 する絶 縁 材 料 が要 求 されることも考 えら れる。

(8)

図 29 典 型 的 な ILD アーキテクチャ  CMP においては、CMP 技 術 自 体 と CMP 後 の欠 陥 低 減 化 技 術 の継 続 的 な改 良 が near term にお いては要 求 される。Long term では CMP 以 外 の平 坦 化 技 術 が解 決 策 候 補 となるであろう。  Cu の CMP においては、Cu の厚 さがスケーリングされ、エロージョンやディッシングを極 力 小 さくする ことが要 求 される。また、Low-k 膜 は一 般 に低 密 度 で機 械 強 度 が弱 いためそれに対 応 できる CMP 技 術 の開 発 が必 須 となる。また、将 来 のデバイスの低 欠 陥 化 に対 してポスト CMP クリーニング技 術 の 開 発 は重 要 である。また、エッチストップ層 やバリア層 との高 い選 択 比 を持 つエッチング技 術 、レジス ト剥 離 技 術 、ポストエッチクリーニング技 術 の開 発 も必 須 である。また、ゲート絶 縁 膜 の薄 膜 化 、新 材 料 の導 入 に対 して、エッチングやデポジッションのプロセスでのダメージを低 減 化 することが必 須 の技 術 である。

10-3 大 チャレンジ

10-3 大 チャレンジ

10-3 大 チャレンジ

10-3 大 チャレンジ

 表 45 は、短 期 的 (≧100 nm)および長 期 的 (<100 nm)な 5 つの主 要 課 題 についてまとめたもので ある。配 線 に関 する短 期 的 課 題 は、新 しい材 料 やプロセスの急 速 な導 入 およびその集 積 化 、寸 法 制 御 、配 線 構 造 の物 理 的 /電 気 的 安 定 性 、およびデバイスに影 響 を与 えない、あるいはデバイスへの 影 響 が小 さい配 線 プロセスの実 現 である。Low-kの誘 電 体 、CVD(chemical vapor deposition、化 学 蒸 着 )の金 属 /バリア/シード・レイヤ、および SoC の追 加 要 素 は、プロセスやその積 層 化 の面 で大 き な問 題 をもたらす。 配 線 レベルの数 、接 地 プレーン、受 動 的 エレメントによってインタフェース、汚 染 、 密 着 性 、機 械 的 安 定 性 、電 気 的 パラメータ、熱 履 歴 が複 雑 化 し、管 理 が困 難 となる。

(9)

表 45 配 線 に関 する課 題  現 在 および将 来 の世 代 の配 線 技 術 において大 きな課 題 となるのは、寸 法 の制 御 である。主 流 をな すダマシン構 造 では、パターン、エッチ、および平 坦 化 を厳 密 に制 御 することが必 要 となる。最 大 パフ ォーマンスを実 現 するためには、配 線 構 造 において RC の増 大 をまねくプロフィールのばらつきを容 認 することはできない。このような寸 法 制 御 を実 現 するためには、アスペクト比 の大 きな構 造 を測 定 する 高 スループットの画 像 処 理 測 定 に新 たな課 題 が課 せられる。また、密 着 性 や欠 陥 をインラインでモニ タするための新 しい測 定 技 術 も必 要 となる。大 きなウェーハを使 用 したり、テスト・ウェーハの数 を制 限 することは、その場 におけるプロセス制 御 技 術 の導 入 をより推 進 する要 素 となる。現 在 の課 題 である 寸 法 制 御 は、多 孔 質 の Low-k誘 電 体 や CVD 金 属 をはじめとする新 材 料 が、ピッチの小 さく、A/R (アスペクト比 )が大 きいリージョナル・レベルやグローバル・レベルで使 用 されるようになればさらに大 きな問 題 となる。50 nm ノードでは、加 工 寸 法 による影 響 (電 子 の表 面 拡 散 など)によって有 効 抵 抗 が 増 大 するため、新 たな導 体 技 術 が必 要 になることも考 えられる。Cu や Al は引 き続 き将 来 の世 代 のチ ップへの応 用 が模 索 されるものと思 われるが、グローバル配 線 については、RF あるいは光 伝 播 を利 用 した新 たな配 線 技 術 が必 要 になり、それによって素 材 やそのプロセスにおける集 積 化 において新 たな課 題 が発 生 することが予 想 される。

(10)

 加 工 寸 法 の縮 小 、新 素 材 、ダマシン構 造 はいずれも、オン・チップ配 線 の開 発 や製 造 のための測 定 手 段 を必 要 とする。アスペクト比 が極 端 に大 きい形 状 やきわめて薄 いバリアに関 しては、最 小 加 工 寸 法 の測 定 が必 要 となる。したがって、将 来 のチップのさらに複 雑 な配 線 レベルにも対 応 できる測 定 手 段 を開 発 することが必 要 である。測 定 に関 するそのほかの課 題 としては、高 い周 波 数 での抵 抗 や 誘 電 率 、密 着 性 、機 械 的 特 性 の測 定 が挙 げられる。

10-4 解 決 策 候 補

10-4 解 決 策 候 補

10-4 解 決 策 候 補

10-4 解 決 策 候 補

10-4-1 誘 電 体 に関 する解 決 策 候 補 10-4-1 誘 電 体 に関 する解 決 策 候 補 10-4-1 誘 電 体 に関 する解 決 策 候 補 10-4-1 誘 電 体 に関 する解 決 策 候 補  誘 電 体 に関 する解 決 策 候 補 (図 30)では、1 から>100 までの幅 広 い誘 電 率 の誘 電 体 膜 材 料 を網 羅 したソリューションを示 した。ここで示 したκの値 はバルクに対 する値 で、異 方 性 に関 して平 均 した ものである。将 来 の世 代 の技 術 に付 いて、誘 電 体 に関 する 3 つの主 要 課 題 を予 測 する。 1. 可 能 な限 り誘 電 率 (κ)の低 い誘 電 体 膜 材 料 の開 発 および統 合 2. メモリや SoC 用 の可 能 な限 り誘 電 率 の高 い誘 電 体 の開 発 および統 合 3. Cu/Lowκ配 線 技 術 を超 えるための新 たな誘 電 体 材 料  これらの課 題 のうち、最 初 の 2 つの問 題 は詳 しく定 義 され、ソリューションが模 索 されているところで あるが、3 つめの問 題 については研 究 および明 確 化 の段 階 にある。  回 路 構 成 によって、必 要 となる、配 線 間 のデカップリング・コンデンサのための高 誘 電 率 (Highκ ) 材 料 は、130 nm ノードにおいて SoC およびロジックのアプリケーションに導 入 されるものと思 われる。 技 術 を進 歩 させる要 素 は、誘 電 率 が周 波 数 に左 右 されないこと、リークが少 ないこと、プロセスの 制 御 、低 コスト、および電 極 物 質 との統 合 である。短 期 的 な課 題 として、酸 化 タンタルの CVD(chemical vapor deposition 、化 学 蒸 着 )の開 発 が進 められてい るが、酸 化 アルミ ニウム を使 用 した統 合 MIM (metal-insulator-metal、金 属 /絶 縁 体 /金 属 )コンデンサも 1 つの解 決 策 候 補 として挙 げられる。高 誘 電 率 機 能 を有 する BST(barium strontium titanite、バリウム・ストロンチウム・チタネート)を開 発 す るためには、さらに研 究 が必 要 である。強 誘 電 性 のメモリ・エレメントは、PZT(lead zirconium titanite、 鉛 ジルコニウム・チタネート)や SBT(strontium, bismuth, and tantalum、ストロンチウム、ビスマス、タ ンタルの化 合 物 )など、配 線 プロセスに適 合 した大 きい残 留 分 極 値 を有 する強 誘 電 体 材 料 を必 要 と する。

 Cu 配 線 によるκ<3.0 誘 電 体 の最 初 の導 入 は、130 nm ノードにおいてであると思 われる。このノード での導 入 に適 した誘 電 体 膜 材 料 は現 在 、比 較 的 成 熟 しており、CVD による炭 素 添 加 酸 化 ケイ素 、 有 機 ポリマー、および無 機 水 素 シルセキオサン(inorganic hydrogen silsequioxanes)などがある。現 在 、開 発 においては、エッチング、エッチ後 のアッシングと洗 浄 、メタライゼーション、プラナリゼーショ ンといった他 の配 線 プロセスとの統 合 に焦 点 が当 てられている。Cu/Low 構 造 のエレクトロマイグレ ーション耐 性 やパッケージングの適 合 性 は、まだ実 証 されてはいない。プロセスの条 件 、コスト、適 合 性 により、複 数 の誘 電 体 およびプロセスが使 用 されることになるものと思 われる。誘 電 率 を 2.5 未 満 ま で下 げるためには、誘 電 体 膜 材 料 の密 度 を下 げる(通 常 は、孔 をあけて密 度 を下 げる)か、あるいは フッ素 (フッ素 ポリマー)を追 加 することが必 要 となる。既 存 のほとんどのタイプの Lowκ先 端 誘 電 体

(11)

膜 材 料 では、多 孔 性 を持 たせるための研 究 が進 められている。理 想 的 な多 孔 体 は、サイズの揃 った 小 さな孔 (孔 の大 きさ<<加 工 寸 法 )の閉 鎖 ネットワークで構 成 される。多 孔 体 で鍵 となるのは物 理 的 強 度 である。残 念 ながら、弾 性 率 、降 伏 強 度 、"fracture toughness"といった主 要 特 性 は、密 度 に対 してどんなに良 くても線 形 でしか変 化 しない。κ<2.0 の誘 電 体 膜 材 料 の統 合 を可 能 にするためには、 きわめて斬 新 な統 合 技 術 が必 要 になるものと思 われる。統 合 構 造 の最 小 有 効 誘 電 率 を実 現 するた めには、誘 電 性 のバリアあるいはライナーが必 要 となる。これは、Cu バリア、エッチ・ストップ、ハード・ マスク・アプリケーションのための Si3N4 に代 わる Lowκバリア材 料 の開 発 を推 進 する要 因 となる。 Lowκ誘 電 体 膜 材 料 のソリューションの開 発 に際 しては、多 孔 体 、エア・ギャップ構 造 、あるいはその 両 方 を視 野 に入 れる必 要 がある。  提 案 されている新 たな配 線 方 式 のうち、誘 電 体 に対 する条 件 が厳 しいのは光 配 線 である。Si/SiO2 を光 ウェーブガイドの材 料 として使 用 する場 合 は、CMOS に適 合 した温 度 での成 膜 やパターニングを 行 う際 にロスを十 分 低 く抑 えられるようなプロセスの開 発 が必 要 となる。ポリマー・システムでは、曲 げ 半 径 (Δ屈 折 率 >0.5)を小 さくするために、光 コントラストの大 きな材 料 が必 要 となる。

(12)
(13)

10-4-2 導 体 に関 する解 決 策 候 補 10-4-2 導 体 に関 する解 決 策 候 補 10-4-2 導 体 に関 する解 決 策 候 補 10-4-2 導 体 に関 する解 決 策 候 補  銅 配 線 は、アルミ合 金 の導 電 率 によってパフォーマンスが制 限 されていた MPU において、220 nm の技 術 ノードで導 入 された。次 に銅 を使 用 する製 品 としては SoC が考 えられるが、これもパフォーマン スがその理 由 となろう。DRAM でも、最 も高 速 のメモリでは Cu が必 要 となるであろうが、その導 入 時 期 はプロセ スの成 熟 度 とコ ストによ って決 定 されよ う。バリ アやニ ュークリ エイショ ン・レ イヤは依 然 として PVD(physical vapor deposition、物 理 蒸 着 )または iPVD(ionized physical vapor deposition、イオ ン物 理 蒸 着 )で形 成 されているが、銅 の導 体 膜 形 成 では ECD(electrochemical deposition、電 気 化 学 式 デポジット)が現 時 点 での好 ましい方 法 である。銅 のパターン形 成 は、リアクティブ・イオン・エッチ ングではなくダマシンプロセスが使 用 されているが、これはケミカル・メカニカル・ポリッシングへの配 線 形 成 の依 存 性 を高 めることになる。図 31 参 照 。

(14)

 図 32 に示 すように、バリア、ニュークリエイション、および導 体 の解 決 策 候 補 は、記 述 された技 術 的 要 求 に対 して 2004 年 まで使 用 できる。それ以 降 の世 代 に関 しては、アスペクト比 のより高 い所 でクリ ティカルな寸 法 の微 細 化 を進 める一 方 で、Cu の実 効 抵 抗 を維 持 か低 減 していくという要 求 を満 たし ていくためには、薄 くてコンフォーマルなバリア形 成 や、他 の Cu 組 込 技 術 の研 究 と開 発 が必 要 となる。 主 な製 品 群 のなかで、最 もアグレッシブなメタル・ピッチを使 用 するのは DRAM である。少 なくとも 100 nm の技 術 ノードまでは、タングステンとアルミニウム合 金 配 線 が使 用 されることになる。130 nm 世 代 で も欠 陥 低 減 は問 題 であるが、長 期 的 な成 功 のためには継 続 的 な欠 陥 密 度 の低 減 が必 要 である。ス タックト・キャパシタセルを使 用 した DRAM のコンタクトアスペクト比 は、ロードマップのなかで最 もアグレ ッシブであり、特 別 に注 力 していく必 要 がある。SoC は、チップに組 み込 むコンデンサ、インダクタ、そ の他 の受 動 素 子 を形 成 するために MPU よりも多 くの金 属 レベルを使 用 することになろう。 図 32 ニュークリエイションに関 する解 決 策 候 補

(15)

10-4-3 導 体 に関 する解 決 策 候 補 (≧ 10-4-3 導 体 に関 する解 決 策 候 補 (≧ 10-4-3 導 体 に関 する解 決 策 候 補 (≧ 10-4-3 導 体 に関 する解 決 策 候 補 (≧100 100 100 nm100 nmnmnm ))))  種 々の導 体 を混 用 するアプリケーションのためには、low-κ誘 電 体 の耐 えうる熱 処 理 と整 合 するタ ングステン(W)導 体 形 成 の開 発 が必 要 となる。スタックト・キャパシタ DRAM の 100 nm ノードでは、コ ンタクトのアスペクト比 は 13:1 になるものと予 想 される。このような組 込 要 求 を満 たす解 決 策 候 補 は現 時 点 では存 在 せず、さらに高 度 な CVD W プロセスの研 究 開 発 が必 要 である。Long throw PVD、イ オン化 PVD、および CVD による Ti や TiN も、このような高 アスペクト比 対 応 の CVD W プロセスのコ ンタクトおよびバリアの解 決 策 候 補 として開 発 が進 められている。図 33 は、導 体 に関 する解 決 策 候 補 を示 したものである。  現 在 、デュアル・ダマシン DRAM 配 線 のための導 体 の解 決 策 候 補 として、高 度 な Al 組 込 技 術 の開 発 が進 められている。PVD の改 善 (long throw PVD、イオン化 PVD、およびその他 の PVD)および CVD による Ti や TiN バリアおよびニュークリエイション・レイヤは、ウェッティング・レイヤとしての CVD Al とともに、これらの高 度 な Al 組 込 プロセスを可 能 とする要 素 となる。これらの技 術 が潜 在 的 に持 つ 歩 留 や信 頼 性 での改 善 は、従 来 の RIE で形 成 される W プラグ/Al 配 線 との比 較 の基 に、投 資 コスト やプロセスの複 雑 さを考 慮 しながら評 価 していかなければならない。MPU や SoC 以 上 に DRAM では、 総 合 的 に低 コストなソリューションを採 用 することが、引 き続 き推 進 されることになろう。  Cu 導 体 形 成 のための第 二 世 代 の ECD 技 術 の改 善 は、現 在 開 発 が進 められている。より高 いアス ペクト比 のデュアル・ダマシン構 造 の組 込 を可 能 にするために、装 置 、電 流 波 形 、メッキ液 の改 善 が 検 討 されている。クローズド・ループのメッキ浴 再 利 用 システムによって、メッキ液 を制 御 してウェーハ 上 で使 用 可 能 とすることが保 証 できるかも知 れない。180 nm ノードでは、Long-throw PVD およびイ オン化 PVD による Ta、TaN、TiN(およびこれらの組 み合 わせ)による Cu 用 のバリアが、実 際 に生 産 に使 用 されている。その他 の二 元 系 および三 元 系 の合 金 膜 (PVD および CVD による WN、TiSiN、 TaSiN、WSiN)も、薄 くてコンフォーマルというバリアへの要 求 を満 たす解 決 策 候 補 である。バリアの形 成 やニュークリエイション・レイヤに適 した CVD や無 電 解 メッキ技 術 は、コンフォーマリティを改 善 でき るため、Cu デュアル・ダマシンバリア用 の PVD の改 善 法 に対 抗 し得 る技 術 である。また、ECD のシー ド・レイヤのコンフォーマリティの改 善 も必 要 である。PVD の改 善 や CVD、無 電 解 メッキ技 術 は、いず れもこのための解 決 策 候 補 として開 発 が進 められている。  第 三 世 代 の ECD による組 込 は、微 細 なクリティカルな寸 法 に於 いて、さらなる高 アスペクトの組 込 改 善 をするために研 究 が進 められている。ECD Cu は、CVD、CVD/PVD 組 込 、および高 圧 フローといっ たデュアル・ダマシン用 の他 の Cu 組 込 技 術 と競 合 している。また、Cu 以 外 の他 の導 体 も研 究 の対 象 と な る か も 知 れ な い 。 100 nm ノ ー ド で は 、 こ れ ら の 選 択 肢 の 絞 り 込 み を 終 え た の ち 、 認 定 作 業 (qualification)が開 始 されることになる。CVD 技 術 による形 成 、あるいは low-κの誘 電 膜 を in-situ で改 質 して形 成 した Cu の誘 電 膜 バリアが研 究 の対 象 になるだろう。CVD 技 術 による薄 いコンフォー マルな金 属 バリアは、Cu 界 面 や表 面 への金 属 添 加 物 の拡 散 と析 出 によって in situ で形 成 されるバ リアと競 合 するであろう。ALD(atomic layer deposition、原 子 レイヤ・デポジット)も薄 いコンフォーマ ルな膜 を形 成 する代 替 え技 術 であると同 時 に、異 なる成 分 を持 つ複 数 の薄 い積 層 レイヤを利 用 する 材 料 エンジニアリングへの新 たなチャンスを提 供 するものである。金 属 バリア( CoP、CoWP、その他 ) の選 択 形 成 も、銅 のパッシベーションとして実 現 可 能 であることが実 証 されるかも知 れない。100 nm ノ

(16)

ードのニュークリエイション・レイヤの解 決 策 候 補 としては、CVD Cu、無 電 解 メッキ Cu、バリアや他 のウ ェッティング・レイヤ上 に直 接 形 成 するシードレス ECD が挙 げられる。 10-4-4 導 体 に関 する解 決 策 候 補 ( 10-4-4 導 体 に関 する解 決 策 候 補 ( 10-4-4 導 体 に関 する解 決 策 候 補 ( 10-4-4 導 体 に関 する解 決 策 候 補 (<100 <100 <100 nm<100 nmnmnm ))))  Cu 配 線 は、low-κの誘 電 体 と共 に 100 nm 以 下 の世 代 の技 術 ノードに於 いて、引 き続 き使 用 され よう。ただし、将 来 のパフォーマンス要 求 を満 たすためには、これらの材 料 面 でのソリューションだけで は不 十 分 である。将 来 の配 線 に関 する問 題 の緩 和 のためには、設 計 やパッケージングでの改 善 が中 心 的 な役 割 を果 たす必 要 がある。 バリア/Cu 導 体 の特 性 である 2.2 μΩ-cm という導 体 の実 効 抵 抗 スペックを低 減 することが必 要 で ある。抵 抗 を若 干 引 き下 げるための解 決 策 候 補 としては、Cu の拡 散 防 止 の目 的 で low-κ誘 電 体 の 改 質 することにより、Cu バリアを削 除 する方 法 がある。ある種 の low-κ誘 電 体 は、それ自 体 が銅 に対 して十 分 なバリア特 性 を示 すため、厚 みゼロというバリアへ の要 求 を満 たすものとなる。従 来 の Cu 配 線 の抵 抗 をさらに大 幅 に低 減 するには、チップの動 作 温 度 を下 げることによって実 現 できるが、これ は適 用 可 能 な超 伝 導 体 の種 類 を劇 的 に増 やせる ことにつながるかも 知 れない 。そのほ かの解 決 策 候 補 としては、3D デバイスやオン・チップ/オフ・チップの RF、および光 配 線 が挙 げられる。これらや他 の解 決 策 候 補 の有 効 性 をチェックするための研 究 が必 要 である。

(17)

図 33 導 体 に関 する解 決 策 候 補 10-4-5 平 坦 化 に関 する解 決 策 候 補 10-4-5 平 坦 化 に関 する解 決 策 候 補 10-4-5 平 坦 化 に関 する解 決 策 候 補 10-4-5 平 坦 化 に関 する解 決 策 候 補  図 34 に示 すように 、化 学 機 械 研 磨 は引 き続 き 金 属 およ び誘 電 体 の平 坦 化 技 術 の主 流 となる 。 CMP(chemical mechanical planarization、ケミカル・メカニカル・プラナリゼーション)は、アルミニウム 上 の従 来 の層 間 膜 ポリッシング、あるいはプレ・メタル層 間 絶 縁 膜 のポリッシングのどちらにももうしば らく使 用 されるものと思 われる。ストップ・レイヤその他 の統 合 技 術 に対 しては、低 κ素 材 の直 接 ポリッ シングが必 要 となろう。DRAM のクラウン・コンデンサのような縦 型 キャパシター構 造 の上 に成 膜 した絶 縁 膜 の平 坦 化 は、とくに困 難 なものになることが予 想 される。クラウン・コンデンサの厚 みは現 時 点 で は 1 ミクロンまでであるが、将 来 の世 代 では厚 みが徐 々に減 少 するものと思 われる。アルミニウム、タン グステン、および銅 のダマシンのポリッシングは、何 世 代 かのプロセスで同 時 に使 用 されることになろう。 銅 や低 κ誘 電 体 の導 入 は、ダマシンに最 適 化 されたツールや消 耗 材 の開 発 に拍 車 をかけている。 化 学 エッチングと CMP との併 用 に関 係 のある作 業 は、CEP(chemically enhanced planarization、化 学 的 に強 化 されたプラナリゼーション)と定 義 される。CEP は、COO 低 減 と欠 陥 低 減 に対 する解 とな る可 能 性 がある。エレクトロウィニングによって金 属 を電 解 的 に除 去 する方 法 は、この汎 用 CEP の範

(18)

疇 に入 る。新 素 材 の絶 え間 ない導 入 するためには、ディッシングや腐 食 のプロセス制 御 技 術 を改 善 することが必 要 となる。パターン依 存 性 は、欠 陥 や金 属 汚 染 の防 止 とともに依 然 重 要 な問 題 である。 幅 広 パターンのディッシング、および密 集 パターン部 のエロージョンは、RC のばらつきを生 じさせるた め、最 小 限 に抑 える必 要 がある。許 容 されるディッシングとエロージョンのターゲットは表 46a と表 46b に示 した通 りである。2005 年 にはエロージョンの許 容 がゼロとなっているということは(?)、代 替 策 に ついて重 点 的 に研 究 する必 要 があることを示 している。「その他 」のカテゴリでは、現 在 特 定 されてい る代 替 手 段 はほとんど存 在 しない。  それぞれのスタイルのプラナリゼーション・ツール(ロータリー、オービタル、楕 円 、線 形 、およびその 他 )には、いずれも長 所 と短 所 があり、そのバランスを考 えてそれぞれの技 術 を使 用 する必 要 がある。 最 終 的 に 1 つのタイプの CMP ですべてのケースに対 処 するようにするのか、それとも個 々のケースに 応 じて異 なるツールを使 用 するようにするのかについては、いまだ意 見 の統 一 はなされていない。前 洗 浄 あるいは後 洗 浄 の機 能 を備 えた平 坦 化 ツールはすでに開 発 されてはいるものの、大 多 数 の洗 浄 オプションについては、コストメリットが示 されて初 めてインテグレーションが推 進 されることになろう。 同 様 に、プロセスを自 動 制 御 するためには in situ の測 定 手 段 やエンドポイントも CMP 装 置 に取 り入 れる必 要 がある。  CMP の消 耗 材 は、引 き続 きいくつかのプロセスからの要 求 を満 たしてゆく必 要 がある。エロージョン、 ディッシング、スクラッチ、およびパーティクル密 度 は継 続 的 に低 減 していかなければならないだろう。 これらのニーズを満 たすためには、しばらくのあいだツール、パッド、スラリを同 時 に改 善 してゆくことが 必 要 となる。消 耗 材 のコストを削 減 することも必 要 である。様 々なプロセスインテグレーションの場 面 で CMPが用 いられるようになるので、それらに応 じた様 々な選 択 比 を備 えたスラリに対 する需 要 が高 ま っている。スラリ/化 学 物 質 の使 用 を排 除 あるいは軽 減 する研 磨 パッドは、廃 棄 物 を減 らすのに役 立 つだけでなく、プロセス面 でも潜 在 的 なメリットを備 えた魅 力 的 な方 法 である。  CMP 後 の洗 浄 技 術 に対 する需 要 は増 加 するだろう。ポリッシングしたウェーハからスラリの残 滓 や残 留 している銅 を除 去 する際 に、腐 食 やパターンの破 損 を生 じてはならない。現 在 、タイプの異 なるいく つかの洗 浄 技 術 の開 発 が進 められてい る。これらの洗 浄 技 術 はいずれもブ ラシとスプ レー、超 音 波 有 りなしの組 み合 わせである。また、腐 食 の防 止 、金 属 イオンのキレーション、粒 子 の除 去 を目 的 とし て添 加 する新 しい化 学 物 質 の研 究 も継 続 して行 う必 要 がある。これらの添 加 物 質 には、via/trench 洗 浄 で一 般 的 に使 用 されつつある超 臨 界 液 も含 まれる。さらに、それと並 行 して欠 陥 検 出 技 術 も改 善 する必 要 がある。また、廃 棄 物 の流 れを制 御 する方 法 や汚 染 を軽 減 する技 術 も引 き続 き改 善 して ゆくことが不 可 欠 である。いちばん望 ましいのは、環 境 に有 害 な廃 棄 物 (とくに Cu などの金 属 )の生 成 を最 小 限 に抑 えられる CMP プロセスを設 計 することである。

(19)

図 34 平 坦 化 に関 する解 決 策 候 補 10-4-6  10-4-6  10-4-6  10-4-6 エッチに関 するエッチに関 するエッチに関 する解 決 策 候 補エッチに関 する解 決 策 候 補解 決 策 候 補解 決 策 候 補  図 35 に示 すように、配 線 構 造 の形 成 にプラズマ・エッチングは ITRS の予 想 期 間 を通 じて必 要 不 可 欠 であろう。何 十 年 にもわたってパターン転 写 の標 準 技 術 として使 用 されてきた不 要 部 分 を除 去 する タイプのメタル・エッチは、少 なくとも 100 nm までは DRAM で使 用 されるものと思 われる。また銅 配 線 を使 用 したロジック製 品 でも、ある程 度 使 用 されることが考 えられる。DRAM の 100 nm および 70 nm 技 術 ノードに対 する高 アスペクト比 アルミニウム配 線 の RIE エッチは、きわめて困 難 になることが予 想 され、ツール・メーカによる継 続 的 な開 発 努 力 が必 要 となろう。あらゆるクラスの製 品 での Contact/via エッチは、50 nm にまで拡 張 することが予 想 される。ダマシン、およびデュアルダマシン法 は、銅 配 線 で使 用 されるものと思 われる。

(20)

加 工 寸 法 の縮 小 化 、アスペクト比 の増 大 、プロフィールの制 御 といった形 状 に関 する問 題 は、エッ チ技 術 に大 きな影 響 を及 ぼすことが予 想 される。RIE は、130 nm あるいは 100 nm あたり迄 その用 途 を見 出 す と 思 わ れ る が 、そ れ 以 降 は よ り 厳 し く なる エ ッ チ ン グ 要 件 を 満 た す た め に 高 密 度 プ ラ ズ マ (HDP)ツールが必 要 になろう。その原 動 力 となるのは、正 確 な寸 法 制 御 による微 細 形 状 の高 速 エッ チング、およびアスペクト比 依 存 性 を最 小 限 に抑 えたエッチング技 術 である。多 孔 質 材 料 はとくに歪 みに敏 感 なた め、エッチ ング技 術 の開 発 に際 しては形 状 の制 御 が鍵 となる 。エッチ・プ ロセスは、電 気 的 、化 学 的 、および物 理 的 ダメージを生 じてはならない。このため 70 nm ノードでは、中 性 ビームと いうような新 しいプロセスが有 望 な解 の一 つとなる。ダマシン構 造 やデュアルダマシン構 造 、およびそ れに付 随 して導 入 される Low-kおよび High-kの素 材 については、新 しいエッチ・プロセスが必 要 とな る。したがって、このエッチング能 力 の開 発 は、ロードマップに提 示 さた有 望 な絶 縁 膜 の誘 電 率 トレン ドと時 期 を合 わせて行 う必 要 がある。エッチングの技 術 的 推 進 要 素 と必 要 事 項 を列 記 した詳 細 な表 は、本 章 にリンクした補 足 部 分 に与 えられている。  ダマシンの手 法 は、配 線 のフォトレジスト・ストリッピングおよびその後 の洗 浄 の方 法 をおそらく変 える でしょう。有 機 Low-k誘 電 膜 のエッチングにハード・マスク材 料 を用 い、酸 素 を含 んだエッチングガス で処 理 すると、トレンチ、コンタクト、ビアの エッチング工 程 中 に、同 時 にフォトレジストを除 去 すること が可 能 になる。但 しドライ処 理 のみでは、アスペクト比 の大 きいホール構 造 において Low-k誘 電 膜 や 銅 およびそのバリアを侵 すことなく、残 滓 や粒 子 を取 り除 くことが十 分 にできないことが考 えられ、ウェ ット処 理 、又 は超 臨 界 液 の使 用 を含 めたその他 の手 段 が有 効 であり、必 要 になる見 込 みがある。多 孔 質 酸 化 膜 やそれによく似 た Low-k材 料 に対 しては、代 替 手 段 として水 素 還 元 ガスを使 用 したレジ スト除 去 が必 要 になることも予 想 される。

(21)

図 35 エッチに関 する有 望 なソリューション  将 来 のエッチング技 術 の開 発 に影 響 を及 ぼすその他 の懸 案 としては、ESH(Environment, safety and health、環 境 、安 全 性 、および健 康 )の面 からの要 求 、選 択 性 のニーズ、電 気 面 からの要 求 のい ずれをも満 足 できるような新 たなエッチングガスの開 発 が挙 げられる。 10-4-7 信 頼 性 10-4-7 信 頼 性 10-4-7 信 頼 性 10-4-7 信 頼 性  ダマシン構 造 では、その形 成 プロセスでのフォトレジスト除 去 やその後 の洗 浄 の方 法 が変 わる可 能 性 がある。層 間 膜 に用 いられる有 機 低 誘 電 率 材 料 のエッチングにハードマスク材 料 や酸 素 を用 いた ドライエッチングで処 理 を行 うと、トレンチ、コンタクト、ビアなどのエッチングと同 時 にフォトレジストを除 去 することが可 能 になる。ドライ除 去 だけでは、アスペクト比 の大 きい部 分 から低 誘 電 率 材 料 や銅 配 線 材 料 およびバリア材 料 に損 傷 を与 えず、残 渣 やパーテイクルを取 り除 くためには不 十 分 である。多

(22)

孔 質 酸 化 膜 や類 似 の低 誘 電 率 材 料 が導 入 できれば、引 き続 き従 来 の酸 素 を使 用 した除 去 プロセス を使 用 できる可 能 性 もある。

 銅 配 線 技 術 は、配 線 の信 頼 性 を確 かに著 しく向 上 させるが、その一 方 で新 たな材 料 、プロセスの 導 入 や信 頼 性 に関 する新 たなさまざまな問 題 を発 生 させる。銅 はシリコンや酸 化 物 の中 を容 易 に拡 散 するため、配 線 間 リークやトランジスタの性 能 劣 化 を防 ぐために金 属 (Ta や TaN など)あるいは絶 縁 物 (SiN や SiC など)の拡 散 バリアで被 覆 する必 要 がある。また、Cu の拡 散 は、デバイスが動 作 する 際 に隣 り合 った配 線 間 に生 じる電 界 によっても大 きく促 進 されるため、デバイスの長 期 的 な信 頼 性 を 保 証 するために は拡 散 バリアが完 璧 である ことが不 可 欠 となる。バリ アの厚 みは有 効 比 抵 抗 率 を満 足 させるために配 線 幅 の縮 小 につれ薄 くする必 要 があるため銅 の拡 散 防 止 はさらに大 きな課 題 とな り、最 終 的 には「 厚 みゼロ」を実 現 するための新 たな銅 の不 動 化 技 術 あるいは銅 の拡 散 に対 する耐 性 を備 えた絶 縁 膜 材 料 が必 要 となる。  銅 はアルミニウムとは異 なり表 面 に不 動 態 層 が形 成 されない。したがって、表 面 拡 散 がエレクトロマ イグレーション現 象 の大 きな要 因 になることが予 想 されるため、界 面 が信 頼 性 に大 きな影 響 を及 ぼす ことになる。配 線 からの銅 の拡 散 を防 止 するためには、とくにビア部 など急 峻 な角 のある構 造 部 での 絶 縁 物 や金 属 バリアの機 械 的 強 度 および絶 縁 性 を維 持 することも不 可 欠 となろう。CVD 法 により形 成 した銅 のバリアおよびシード層 は、微 細 化 には対 応 できる一 方 でインテグレーションにおいて新 た な問 題 が発 生 する可 能 性 があるため、例 えば銅 の配 向 性 や CVD/ECD の組 み合 わせで形 成 した銅 薄 膜 の性 質 を理 解 する必 要 がある。  デバイス性 能 の向 上 に必 要 な新 たな低 誘 電 率 層 間 膜 の導 入 は、熱 や機 械 的 理 由 によって発 生 す るクラックや 密 着 性 の低 下 、機 械 的 強 度 の低 下 、吸 湿 、時 間 に依 存 する現 象 、配 向 性 の影 響 、熱 伝 導 率 の低 下 といった信 頼 性 を劣 化 させるさまざまな問 題 をもたらす。低 誘 電 率 材 料 の一 般 的 な熱 伝 導 率 は従 来 用 いられてきた酸 化 膜 材 料 の 3 分 の 1 未 満 しかなく、通 電 中 の配 線 温 度 の上 昇 、お よびエレクトロマイグレーション不 良 の原 因 となる。今 後 、低 誘 電 率 材 料 の構 造 の機 械 的 強 度 を高 め、 放 熱 量 を大 きくするためには、新 たなパッケージング技 術 に加 え、積 層 あるいは組 込 酸 化 物 /低 誘 電 率 材 料 構 造 を使 用 した方 法 が必 要 となることも考 えられる。  CAD ツールにおいては、新 たな製 品 や技 術 の設 計 段 階 から信 頼 性 の問 題 に配 慮 することが必 要 になる。これらの新 たな CAD ツールに入 力 するデータを得 るためには、信 頼 性 試 験 方 法 を改 善 し、 信 頼 性 不 良 メ カ ニ ズ ム の 理 解 お よ び モ デ ル 化 を 推 進 す る こ と が 不 可 欠 で あ る 。 こ の よ う な デ ー タ と CAD ツールを使 用 することで、それぞれの設 計 が製 品 の信 頼 性 に及 ぼす影 響 を予 測 することが可 能 となる。  回 路 の電 気 的 性 能 の経 時 劣 化 を予 測 するための新 しい CAD ツールを開 発 することが必 要 である。 入 力 デ ー タ は、 下 記 の デ ー タ に 基 づ い て決 定 した 配 線 お よ び ビ ア の抵 抗 の 予 想 上 昇 率 を使 用 す る。 • 配 線 の長 さ • 回 路 動 作 中 の電 流 密 度 • 算 出 した各 部 の動 作 温 度 。

(23)

 これには、回 路 の通 電 によるジュール熱 の影 響 を含 むものとする。  製 造 を開 始 する前 に製 品 の信 頼 性 を予 想 するためには、これらのツールを設 計 者 のツールセットの 一 部 として組 み込 み、信 頼 性 課 題 を見 越 した解 決 策 を開 発 して、製 品 化 を加 速 することが必 要 であ る。  将 来 の製 品 の信 頼 性 を維 持 するためには、エリアアレイバンプ等 の組 み立 てにおいてもこれらの技 術 を幅 広 く活 用 し、回 路 、素 材 、および製 法 の選 択 に反 映 させる必 要 がある。このことは、低 誘 電 率 材 料 、あるいはウェーハ上 で行 われる組 み立 てに関 連 した工 程 (アンダーバンプフィルなど)の導 入 に際 してはとくに重 要 となる。  銅 配 線 と低 誘 電 率 層 間 膜 のインテグレーションにおけるさまざまな特 性 を完 全 に理 解 し、信 頼 性 を 作 り込 む正 確 なモデルを作 成 するためには、幅 広 い研 究 が必 要 である。とくに電 子 の表 面 散 乱 が抵 抗 に大 きく影 響 する加 工 寸 法 (Cu では 50 nm 以 下 )においては適 用 限 界 も含 め、銅 配 線 技 術 の限 界 を根 本 から見 直 すことが必 要 である。したがって、長 期 的 な観 点 から、銅 に変 わる新 たな配 線 材 料 の信 頼 性 の研 究 についても着 手 する必 要 がある。 10-4-8 システムとパフォーマンスの問 題 10-4-8 システムとパフォーマンスの問 題 10-4-8 システムとパフォーマンスの問 題 10-4-8 システムとパフォーマンスの問 題 配 線 のパフォーマンス 配 線 のパフォーマンス 配 線 のパフォーマンス 配 線 のパフォーマンス  今 後 の技 術 ノード又 は SIA で言 われているスケーリング係 数 (技 術 ノードあたり 0.7×)で製 造 される IC は、要 求 される設 計 性 能 を満 たしていく為 に、近 い将 来 の配 線 技 術 として銅 配 線 や low-k 絶 縁 膜 が 使 われる。その適 用 技 術 の妥 当 性 は配 線 の用 途 目 的 によって異 なる。一 定 のゲート数 (例 えば 40 ゲ ート)をもつローカル配 線 において、信 号 遅 延 時 間 (クロック周 期 よりもはるかに小 さい)は、技 術 スケー リン グ の関 数 として減 少 す るゲ ー ト伝 播 遅 延 と近 い 減 少 率 を持 つ と予 想 される 。この 傾 向 は、 70nm 又 は 50nm ノードまで続 くと予 想 されるが、それ以 降 のローカル配 線 の遅 延 は増 加 し始 める。機 能 ブ ロックの周 辺 長 の半 分 の長 さに相 当 する中 間 配 線 においては、技 術 のスケーリングに伴 いごくわずか だけ大 きくなる。一 方 、ローカル配 線 (及 び一 部 の中 間 配 線 )のクロストークやノイズは、配 線 ピッチの縮 小 に伴 い増 大 することが予 想 される。  グローバル配 線 においては、信 号 の遅 延 は技 術 のスケーリングに伴 って増 加 す る。その主 な理 由 は配 線 抵 抗 及 び配 線 長 の増 大 である。(リピーターを用 いない配 線 遅 延 は配 線 長 の 2 乗 に比 例 して 増 加 する)  一 定 の電 圧 の下 で等 電 位 の配 線 を通 して全 ての Vddバイアスポイントに電 力 を供 給 する場 合 は、 電 圧 降 下 の問 題 を避 ける為 にグローバル配 線 の抵 抗 を小 さくしていく必 要 がある。その理 由 は電 源 電 流 の上 昇 であり、グローバル配 線 抵 抗 が一 定 とすると電 源 電 圧 とバイアスポイント間 の電 圧 降 下 が 大 きくなり、Vddの低 下 につながる。したがって、電 源 から Vddのバイアスポイントまでの配 線 抵 抗 をさ らに小 さくする事 が必 要 となっている。この問 題 は、ボール・グリット・アレイ・パッケージング技 術 を採 用 する事 で現 在 ある程 度 解 消 されている。この技 術 はチップ全 域 にわたり電 源 供 給 ができる為 、チッ プ横 方 向 への電 源 供 給 に使 われる比 較 的 高 抵 抗 のグローバル配 線 の大 部 分 を省 略 する事 ができ る。クロック信 号 や電 力 を供 給 する為 の配 線 網 はチップ電 力 の 40~50%を消 費 し、時 には 120 ワット

(24)

を上 回 る事 もある。 システム・レベルの統 合 システム・レベルの統 合 システム・レベルの統 合 システム・レベルの統 合  シス テム・レベルの統 合 は、必 要 とされる動 作 特 性 を実 現 するためのシステムのマクロ機 能 の物 理 的 および機 能 的 組 み立 てまで包 含 する。個 々の機 能 的 コンポーネント(ベアチップやチップ上 のブロ ック機 能 など)をシステムに組 み込 む際 には、システムに要 求 される性 能 や信 頼 性 のすべてを把 握 す る必 要 がある。現 在 は、オン・チップの配 線 接 続 技 術 、パッケージング技 術 、およびボード・レベルの 技 術 として明 確 に機 能 を分 ける事 によって行 われている。これらの分 野 で現 在 必 要 とされているソリュ ーションについては、本 章 、「設 計 」、「組 み立 てとパッケージング」の章 で説 明 する。従 来 のパッケー ジ又 はチップ間 配 線 機 能 をパッケージに統 合 する潜 在 的 なソリューションも同 じである。ただし、コスト、 帯 域 幅 、熱 、ピン配 列 といった条 件 がますます厳 しくなりつつある為 、革 新 的 な新 しい配 線 /パッケー ジングのソリューションを模 索 する必 要 がある。これらの新 しいソリューションでは、配 線 、パッケージ、 (場 合 によっては)ボード機 能 を完 全 な統 合 システムに組 み込 むことができるようになる可 能 性 がある。 このような技 術 としては、SoP(system-on-package、システム・オン・パッケージ)や SoC(system-on-a-chip、システム・オン・チップ)が考 えられる。

10-5 分 野 をまたがる問 題

10-5 分 野 をまたがる問 題

10-5 分 野 をまたがる問 題

10-5 分 野 をまたがる問 題

10-5-1 設 計 およびモデリング&シミュレーション 10-5-1 設 計 およびモデリング&シミュレーション 10-5-1 設 計 およびモデリング&シミュレーション 10-5-1 設 計 およびモデリング&シミュレーション  次 世 代 SoC の要 求 に伴 い、技 術 の微 細 化 やそれに付 随 する機 能 密 度 の増 大 から、設 計 およびモ デリング&シミュレーションの統 合 や検 証 が重 要 な課 題 となる。設 計 者 は、電 力 消 費 の増 大 だけでな く、増 加 しつつあるインダクタンスやクロストークといった重 要 な問 題 についても対 処 しなければならな い。電 流 密 度 が増 加 するにつれて、複 雑 な配 線 で発 生 するジュール熱 や電 流 集 中 を注 意 深 く評 価 しなければならない。それには、高 性 能 で信 頼 性 の高 い手 法 を組 み込 んだ新 しいシミュレーション技 術 が必 要 となる。グローバル配 線 における信 号 やクロックの遅 延 が、配 線 性 能 を制 限 する主 要 な要 因 であると指 摘 されている。確 か に、リピータを選 択 的 に使 用 する ことでクリティカルパスの速 度 を最 適 化 することは可 能 であるが、グローバル配 線 の遅 延 の緩 和 には設 計 の新 たなアーキテクチャが必 要 となる。配 線 に対 して ITRS が要 求 している密 度 や性 能 、信 頼 性 といった課 題 に対 処 するためには、 今 後 のプロセスの可 能 性 、製 造 時 の変 動 、技 術 のモデリング、合 成 、物 理 設 計 、設 計 検 証 をより密 接 に関 連 付 けることが必 要 になる(「設 計 」および「モデリング&シミュレーション」の章 参 照 )。 10-5-2 測 定 手 段 10-5-2 測 定 手 段 10-5-2 測 定 手 段 10-5-2 測 定 手 段  チップの配 線 工 程 の開 発 ・製 造 において、加 工 寸 法 が縮 小 し、新 材 料 やダマシン構 造 が導 入 され ることで、新 たな測 定 手 段 の導 入 が課 題 となる。アスペクト比 のきわめて大 きな形 状 や極 薄 バリアでは、 最 小 加 工 寸 法 の測 定 手 段 が必 要 となる。測 定 専 用 のウェーハや、製 品 とならないモニタウェーハの 使 用 から、製 品 ウェーハのイン・プロセス測 定 へと取 って代 わられてゆく。測 定 装 置 を、測 定 ステーシ ョンとしてあるいは in-situ のセンサと組 み合 わせた形 で、制 御 用 のソフトウェアとともにクラスターツー ルに組 み込 むことは、配 線 工 程 にとっても有 益 な流 れである。将 来 のチップのますます複 雑 さを増 す

(25)

配 線 にも適 用 できる技 術 を開 発 することが必 要 である。測 定 に関 する他 の課 題 は、高 周 波 動 作 下 で の抵 抗 や誘 電 率 、密 着 性 や機 械 的 特 性 の測 定 が挙 げられる。詳 細 は「測 定 手 段 」の章 で示 す。 表 49 配 線 の測 定 方 法 に関 する要 求 と解 決 策 候 補 10-5-3 欠 陥 の低 減 10-5-3 欠 陥 の低 減 10-5-3 欠 陥 の低 減 10-5-3 欠 陥 の低 減  配 線 密 度 や配 線 層 数 の増 大 にともない、製 品 の歩 留 を維 持 するために欠 陥 を検 出 し低 減 する技 術 の改 良 が必 要 となる。歩 留 改 善 やプロセス制 御 を行 って、工 場 での製 品 の流 れを維 持 するために は、リアルタ イムでの欠 陥 の検 出 、分 析 が合 わせて要 求 される。高 アスペクト比 のダマシン構 造 に付 随 する欠 陥 は、パターン加 工 された多 層 の Low-κ絶 縁 膜 中 では検 出 が困 難 となる。将 来 の配 線 製 造 プロセスを支 援 するためには、進 歩 した欠 陥 を低 減 する手 法 だけでなく、欠 陥 を検 出 、分 析 する新 しい技 術 が必 要 となるだろう(「欠 陥 の低 減 」の章 参 照 )。 10-5-4 環 境 、安 全 、健 康 10-5-4 環 境 、安 全 、健 康 10-5-4 環 境 、安 全 、健 康 10-5-4 環 境 、安 全 、健 康  配 線 技 術 に関 しては、環 境 、安 全 、および健 康 (ESH)の面 で独 自 の課 題 がともなう(表 50 参 照 )。 とくに、性 能 優 先 のチップで要 求 される新 材 料 (Low-κ絶 縁 膜 、High-κ絶 縁 膜 、Cu やバリア材 料 など)や、プロセス(電 気 化 学 的 な成 膜 、CVD による金 属 /絶 縁 膜 の成 膜 、Cu/バリアの CMP、Low-κ/high-κのエッチ/洗 浄 など)を急 速 なペースで導 入 しようとする場 合 は、ESH に関 するさまざまな 問 題 を考 慮 することが必 要 になる。CMP のスラリや銅 の電 気 化 学 的 な成 膜 槽 の処 理 やリサイクル方 法 を、継 続 的 に改 善 してゆく必 要 がある。ドライ及 びウエットプロセスはいずれも引 き続 き使 用 されるこ とになろうが、適 切 なかたちで削 減 してゆく必 要 がある。新 しい金 属 や絶 縁 材 料 の導 入 も ESH に関 す るこれらの課 題 に加 わる。ウエットプロセスに対 する解 決 策 候 補 としては、薬 液 の close-loop 制 御 と 補 充 が考 えられる。将 来 の Low-κ絶 縁 膜 や CVD によるメタル/バリアの成 膜 で必 要 となる新 しい材

(26)

ーニングする必 要 がある。ESH に対 する影 響 を改 善 するためには、反 応 生 成 物 の放 出 、健 康 および 安 全 面 での特 性 、材 料 と装 置 や化 学 物 質 との適 合 性 、可 燃 性 、反 応 性 といったことがらをあらかじ め特 定 することが必 要 である。また、業 界 もプロセスの最 適 化 、代 替 技 術 の導 入 、リサイクル、廃 止 等 を通 じて放 出 される化 学 物 質 や廃 棄 物 (銅 のメッキ溶 液 、CMP のスラリ、酸 /溶 剤 、PFC、水 )の削 減 を図 る必 要 がある。 表 50 配 線 技 術 における ESH に関 する要 求 と解 決 策 候 補 表 50 配 線 技 術 における ESH に関 する要 求 と解 決 策 候 補 (続 き)

10-6 結 論

10-6 結 論

10-6 結 論

10-6 結 論

 新 材 料 の急 速 な導 入 と、それにともなう技 術 の複 雑 化 への対 応 が配 線 技 術 全 体 に関 わる課 題 であ る。長 期 的 には、従 来 のスケーリングによる素 材 の改 善 ではもはや性 能 的 な要 求 を満 たすことは困 難 となろう。グローバル配 線 での遅 延 、あるいはクロストークやノイズの問 題 を解 決 するためには、さらな

(27)

る開 発 努 力 が必 要 となる。SoC については、市 場 においてチップの機 能 と集 積 密 度 がトレードオフに なるため、様 相 (picture)すなわち技 術 的 タイミングが変 わる可 能 性 がある。つまるところ、光 、RF、あ るいは設 計 およびパッケージング技 術 の開 発 の加 速 と垂 直 統 合 するような革 新 的 な技 術 が、配 線 技 術 のソリューションをもたらすことになろう。

(28)

図 2 8   階 層 的 ス ケ ー リ ン グ の 断 面 図
表 46a MPU の配 線 に関 する技 術 的 要 求   -  短 期
表 46b MPU 配 線 に関 する技 術 的 要 求   -  長 期  DRAM の配 線 技 術 は、Table47 に示 すように、もっとも厳 しい配 線 ピッチ、もっとも高 いコンタクトのア スペ クト 比 を要 求 し、ま たも っと も厳 しい 寸 法 制 御 、欠 陥 制 御 を要 求 す る 。ま た、 DRAM に おい ても RAMBUS のような高 速 のメモリー製 品 に対 応 するために 130nm世 代 からは従 来 の SiO2 に変 わる低 誘 電 率 膜 を、また 10
表 47b DRAM の配 線 に関 する技 術 的 要 求   -  長 期
+7

参照

関連したドキュメント

kT と α の関係に及ぼす W/B や BS/B の影響を図 1 に示す.いずれの配合でも kT の増加に伴い α の増加が確認 された.OPC

計算で求めた理論値と比較検討した。その結果をFig・3‑12に示す。図中の実線は

 高齢者の外科手術では手術適応や術式の選択を

日臨技認定センターの認定は 5 年毎に登録更新が必要で、更新手続きは有効期間の最終

「欲求とはけっしてある特定のモノへの欲求で はなくて、差異への欲求(社会的な意味への 欲望)であることを認めるなら、完全な満足な どというものは存在しない

では既に絶滅したと判断された種は 903 種で、 過去 100 年での絶滅スピードはこれまでの 1000

 右図の「C」と「H」