• 検索結果がありません。

Kintex UltraScale GTH トランシーバーを使用した SMPTE 3G-SDI インターフェイスの実装 (XAPP1290)

N/A
N/A
Protected

Academic year: 2021

シェア "Kintex UltraScale GTH トランシーバーを使用した SMPTE 3G-SDI インターフェイスの実装 (XAPP1290)"

Copied!
61
0
0

読み込み中.... (全文を見る)

全文

(1)

概要

こ のアプ リ ケーシ ョ ン ノ ー ト では、 完全な SDI イ ン ターフ ェ イ ス を構築する ために、 Kintex® UltraScale™ GTH ト ラ ン シーバー と ザ イ リ ン ク ス SMPTE SD/HD/3G-SDI LogiCORE™ IP コ ア を合わせて使用する ための制御ロ ジ ッ ク を含むモ ジ ュ ールについて説明 し ます。 ザ イ リ ン ク ス KCU105 評価ボー ド で実行する SDI サンプル デザ イ ンについて も 言及し ま す。

リ フ ァ レ ン ス デザイ ン

放送業界向けの映像機器には、SMPTE (Society of Motion Picture and Television Engineers) SDI (Serial Digital Interface) 規格が 広 く 使用 さ れてい ます。SDI イ ン ターフ ェ イ スは、 放送局ス タ ジオや映像制作会社で使用 さ れてお り 、 非圧縮のデジ タ ル 映像 と 多重音声チ ャ ネルな ど の埋め込まれた補助デー タ を共に伝送 し ます。

SMPTE SD/HD/3G-SDI LogiCORE IP コ ア (SDI コ ア) は、 デバ イ ス固有の制御機能を持たない一般的な SDI 受信/送信デー タ パス です。 こ の コ アは、GTH ト ラ ン シーバー と 接続する こ と で、 SMPTE SD-SDI、 HD-SDI、 および 3G-SDI 規格をサ ポー ト す る SDI イ ン ターフ ェ イ ス を実装で き ます。 SDI コ ア と GTH ト ラ ン シーバーを接続 し て完全な SDI イ ン ターフ ェ イ ス を実装す る には、 ロ ジ ッ ク を追加す る 必要があ り ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では、 付加的な制御ロ ジ ッ ク と イ ン タ ーフ ェ イ ス ロ ジ ッ ク お よび リ フ ァ レ ン ス デザ イ ン (SDI サンプル デザ イ ン) について説明 し ます。 こ こ では、 SD-SDI、 HD-SDI および 3G-SDI を含む SMPTE イ ン ターフ ェ イ ス の規格の言及には SDI を用いてい ます。 こ れ ら の イ ン タ ーフ ェ イ ス のその他の情報は、SMPTE [参照 1] で提供 さ れています。

Kintex UltraScale GTH ト ラ ン シーバーは、 3G-SDI を含むすべての SDI ビ ッ ト レー ト に対応で き ます。 ス ピー ド グ レー ド お よ びデバ イ パ ッ ケージの各組み合わせにおけ る GTH ト ラ ン シーバーの最大 ラ イ ン レー ト は、 『Kintex UltraScale FPGA デー タ シー ト: DC 特性および AC ス イ ッ チ特性』 (DS892) [参照 2] を参照 し て く だ さ い。

ハー ド ウ ェ ア

デバ イ ス固有の制御 ロ ジ ッ ク の主な機能は次の と お り です。 • GTH ト ラ ン シーバーの リ セ ッ ト ロ ジ ッ ク

• SD-SDI、 HD-SDI および 3G-SDI をサポー ト する ために、 RX および TX シ リ アル ク ロ ッ ク 分周器を動的に切 り 替え る 機能 • HD-SDI および 3G-SDI 規格の 2 つの異な る ビ ッ ト レー ト をサポー ト する ために、 TX の基準 ク ロ ッ ク を動的に切 り 換 え る 機能 ° 1.485 Gb/s および 1.485/1.001 Gb/s (HD-SDI モー ド の場合) ° 2.97 Gb/s および 2.97/1.001 Gb/s (3G-SDI モー ド の場合) • SD-SDI モー ド の場合にデータ を回復 さ せるデータ リ カバ リ ユニ ッ ト • レ シーバーが整数フ レーム レー ト 信号 (1.485 Gb/s および 2.97 Gb/s な どの ラ イ ン レー ト ) ま たは分数フ レーム レー ト 信号 (1.485/1.001 Gb/s および 2.97/1.001 Gb/s な どの ラ イ ン レー ト ) のいずれを受信 し てい る かを判断する RX ビ ッ ト レー ト 検出機能 SDI イ ン ターフ ェ イ ス を簡単に構築する ために、 SDI サンプル デザ イ ンでは、 GTH ト ラ ン シーバー用制御モジ ュールの イ ン ス タ ン ス、GTH ト ラ ン シーバー チ ャ ネル イ ン ス タ ン ス、 および SDI コ アの イ ン ス タ ン ス、 およびそれ ら に必要な接 続を含む ラ ッ パー フ ァ イ ル も 提供 し てい ます。 XAPP1290 (v1.1) 2016 年 9 月 29 日

Kintex UltraScale GTH ト ラ ン シーバーを使用

し た SMPTE 3G-SDI イ ン タ ー フ ェ イ スの実装

(2)

SDI サンプル デザ イ ンで使用する IP コ アおよびモジ ュールは次の と お り です。 ザ イ リ ン ク ス IP コ アは、 Vivado Design Suite IP カ タ ロ グで提供 さ れています。

• SDI コ アは、 Vivado IP カ タ ロ グで提供 さ れる SMPTE SD/HD/3G-SDI LogiCORE IP を指 し ます。 SDI コ アは、 SMPTE SD-SDI、 HD-SDI および 3G-SDI 規格に従い ます。 詳細は、 『SMPTE SMPTE SD/HD/3G-SDI 3.0 LogiCORE IP 製品ガ イ

ド 』 (PG071) [参照 3] を参照し て く だ さ い。

• 制御モジ ュ ールは、GTH ト ラ ン シーバーおよび SDI コ ア を使用し て SDI イ ン ターフ ェ イ ス を実装する際に必要 と な る さ ま ざ ま なデバ イ ス固有の機能を実装す る モジ ュ ールです。SDI サンプル デザ イ ンでは、 制御モジ ュールは ソ ー ス コー ド と し て提供 さ れてい ます。

• UltraScale FPGAs Transceivers Wizard IP コ アは、 1 つの GTHE3_CHANNEL プ リ ミ テ ィ ブの イ ン ス タ ン ス と それに対応 し た制御モジ ュ ールを含む GTH ト ラ ン シーバー ラ ッ パーを生成 し ます。 詳細は、 『UltraScale FPGAs Transceivers Wizard LogiCORE IP 製品ガ イ ド 』 (PG182) [参照 4] を参照 し て く だ さ い。

• SDI ラ ッ パーは、 SDI コ ア、 GTH Wizard IP コ ア、 および制御モジ ュールを イ ン ス タ ン シエー ト し て相互接続 し ます。 SDI サンプル デザ イ ンでは、 SDI ラ ッ パーは ソ ース コー ド と し て提供 さ れてい ます。 • SDI ラ ッ パー サポー ト モジ ュールには、 1 つの GTH ク ワ ッ ド に対 し て SDI ラ ッ パー イ ン ス タ ン ス が 1 つ と GTHE3_COMMON プ リ ミ テ ィ ブが 1 つ含まれています。 こ の ラ ッ パーは ク ワ ッ ド ご と に 1 回 イ ン ス タ ン シエー ト さ れます。 それに関連す る QPLL ク ロ ッ ク 、 基準 ク ロ ッ ク 、 ロ ッ ク 出力は、 同じ ク ワ ッ ド で違 う チ ャ ネルにあ る SDI ラ ッ パーへ接続す る 必要があ り ます。SDI アプ リ ケーシ ョ ンで QPLL を使用 し ない場合、 こ の ラ ッ パーは必要あ り ま せん。 図1 に、 一般的な SDI イ ン ターフ ェ イ ス のブ ロ ッ ク 図を示 し ます。

X-Ref Target - Figure 1

図 1: 一般的な SDI イ ン タ ー フ ェ イ スのブ ロ ッ ク図

Audio Embedder

(Optional)

SDI Wrapper

SMPTE SDI

Core

Control

Module

40 32 2 7;9LGHR 7;673,' 7;6',0RGH 7;&RQWURO 5;6WDWXV 5;6',0RGH 2 5;673,' 5;9LGHR

GTH Wizard IP

W[XVUFON 7;&ORFN 20 W[GDWD 5HVHWVDQG&RQWURO 6WDWXV 20 U[GDWD U[XVUFON 5;&ORFN

GTH Common

Reference Clock

Source

0+] 0+]

Cable Driver

6',2XW

EQ

Cable Equalizer

6',,Q

.LQWH[8OWUDVFDOH)3*$

SDI Wrapper Support

40

40

40 32

(3)

注記:図1 に示すオプシ ョ ンのオーデ ィ オ エンベデ ッ ダーは単独コ アであ り 、 SDI コ ア ま たは SDI サンプル デザ イ ンには 含まれてい ません。

機能

SDI コ アの機能、 サポー ト さ れる SMPTE 規格、 SDI モー ド 別の コ アの タ イ ミ ン グ図な どは、 『SMPTE SD/HD/3G-SDI 3.0 LogiCORE IP 製品ガ イ ド 』 (PG071) [参照 3] を参照 し て く だ さ い。 こ の資料では、 多重化 さ れていない SDI データ ス ト リ ームの こ と を 「基本データ ス ト リ ーム」 と 呼びます。 た と えば、 HD-SDI 信号は 2 つの基本データ ス ト リ ーム (通常、 Y データ ス ト リ ーム と C データ ス ト リ ーム) で構成 さ れてお り 、 10 ビ ッ ト の仮想 HD-SDI イ ン ターフ ェ イ ス に多重化 さ れます。 同様に、 3G-SDI レベル A 信号 も 2 つの基本データ ス ト リ ー ム (データ ス ト リ ーム 1 と データ ス ト リ ーム 2) で構成 さ れてお り 、 10 ビ ッ ト の仮想 3G-SDI イ ン ターフ ェ イ ス に多重化 さ れます。3G-SDI レベル B 信号の場合は、 各 HD-SDI 信号に Y データ ス ト リ ーム と C データ ス ト リ ームがあ る 4 つの基 本デー タ ス ト リ ームで構成 さ れてお り 、 3G-SDI レベル B イ ン ターフ ェ イ ス に集約 さ れます。 こ の 4 つの基本ス ト リ ーム は、10 ビ ッ ト の仮想 3G-SDI イ ン ターフ ェ イ ス に多重化 さ れ、 4 ウ ェ イ イ ン ター リ ーブ と な り ます。 SDI コ アの TX および RX は、 データ ス ト リ ーム入力/出力で多重化 さ れていない基本データ ス ト リ ームのみを受信/送信 し ます。 デー タ ス ト リ ームの多重化/逆多重化は、 SDI コ ア内で実行 さ れ、 SDI コ アの外部について考慮する必要はあ り ません。 ただ し 、SD-SDI は例外です。 ST 259 SD-SDI 規格は、 Y コ ンポーネ ン ト と C コ ンポーネン ト を両方伝搬する シ ン グル デー タ ス ト リ ーム を定義 し ます。 こ れは、 複数の EAV と SAV が イ ン ター リ ーブ さ れていないため、 SDI コ アでは基 本デー タ ス ト リ ーム と 見な さ れます。

SDI コ アは、 ネ イ テ ィ ブ ビデオ フ ォーマ ッ ト と 基本データ ス ト リ ーム間のマ ッ ピ ン グ を行いません。 ユーザー アプ リ ケーシ ョ ンは、SDI ト ラ ン ス ミ ッ ターへ基本データ ス ト リ ーム を送信する前に、 こ れ ら の ス ト リ ームに対 し て必要な ビ デオ マ ッ ピ ン グ を行い、 その後、 SDI レ シーバーに よ っ て出力 さ れた基本ス ト リ ームか ら ビデオ イ メ ージ を再度構築す る 必要があ り ます。SD-SDI と 単一 リ ン ク HD-SDI 上のすべてのビデオ フ ォーマ ッ ト 、 および 3G-SDI レベル A の 1080p 50 Hz、 1080p 59.94 Hz、 1080p 60 Hz 4:2:2 YCBCR 10 ビ ッ ト ビデオの場合、 こ れ ら のフ ォーマ ッ ト のデータ ス ト リ ーム

と 、SDI コ アへ入力ま たは出力 さ れる基本データ ス ト リ ームに 1 対 1 と な っ てい る ため、 マ ッ ピ ン グは必要あ り ません。 こ れは、2 つの HD-SDI ビデオ フ ォーマ ッ ト が 1 つの 3G-SDI イ ン ターフ ェ イ ス に集約 さ れるデュ アル ス ト リ ーム モー ド の 3G-SDI レベル B-DS に も 当てはま り ます。 デュ アル リ ン ク HD-SDI、 3G-SDI レベル B-DL の場合、 基本デー タ ス ト

リ ームに対す る ビデオ フ ォーマ ッ ト のマ ッ ピ ン グが必要であ り 、 こ れは SDI コ アでは行われません。 SDI RX は、 入力 さ れる SDI 信号に含まれる基本データ ス ト リ ーム数を自動で判断 し、 それ ら のデータ ス ト リ ーム を適切 に逆多重化 し て、 入力信号に含まれ る 基本デー タ ス ト リ ーム数を rx_active_stream ポー ト に示 し ます。

GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ ス を実現

こ のセ ク シ ョ ンでは、『UltraScale アーキテ ク チ ャ GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG576) [参照 5] を補足する内容 を提供 し ます。 こ こ では、SDI アプ リ ケーシ ョ ンに重要な GTH ト ラ ン シーバーの機能および動作要件を中心に説明し ま す。 こ の文書では、[参照 5] と 同 じ GTH ト ラ ン シーバー ポー ト の命名規則を ポー ト のベース名にのみ使用 し ます。 GTH Wizard モジ ュールの作成に UltraScale FPGAs Transceivers Wizard を使用 し た場合、 すべての入力ポー ト には、 名前の後ろ に _in が追加 さ れ、 すべての出力ポー ト には _out が追加 さ れます。 た と えば、 こ の資料で txpllclksel と な っ てい る ポー ト は、GTH ラ ッ パー内での実際の名前は txpllclksel_in と な り ます。 GTH ト ラ ン シーバーを使用する アプ リ ケーシ ョ ンには ク ロ ッ ク が必要です。 SDI プ ロ ト コ ルは、 データ ス ト リ ームに デー タ を追加 し た り 削除 し て ク ロ ッ ク を補正で き ません。 こ のため、 アプ リ ケーシ ョ ン内で ク ロ ッ ク が ど の よ う に生成お よ び使用 さ れ る かに細心の注意が必要です。GTH ト ラ ン シーバーを駆動する には基準 ク ロ ッ ク も 必要です。 GTH ク ワ ッ ド にあ る PLL (位相ロ ッ ク ループ) が基準 ク ロ ッ ク を使用 し て、 各 ト ラ ン シーバーの受信部および送信部用のシ リ アル ク ロ ッ ク を生成 し ます。「GTH ト ラ ン シーバーの基準 ク ロ ッ ク 」 で説明す る よ う に、GTH ト ラ ン ス ミ ッ ターのシ リ アル ビ ッ ト レー ト は、 供給 さ れる基準 ク ロ ッ ク の整数倍 と な り ます。 さ ら に、 SDI ト ラ ン ス ミ ッ ター データ パス の入力に与 え ら れ る ビデオ デー タ レー ト は、 GTH ト ラ ン ス ミ ッ ターで使用 さ れる基準 ク ロ ッ ク 周波数 と 正確に一致する (ま たは正 確な整数倍 と な る) 必要があ り ます。 し たがっ て、 送信 さ れ る ビデオ ス ト リ ームのデータ レー ト へ周波数が確実に固定す る よ う に、 ト ラ ン ス ミ ッ タ ーの基準 ク ロ ッ ク を生成す る 設計を行 う 必要があ り ます。

UltraScale FPGAs Transceivers Wizard で GTH IP コ ア を生成する と き に ト ラ ン ス ミ ッ ターのユーザー ク ロ ッ キ ン グ ネ ッ ト ワー ク ヘルパー ブ ロ ッ ク を有効化する と 、 GTH ト ラ ン ス ミ ッ ターの ク ロ ッ キ ン グは こ のブ ロ ッ ク で管理 さ れます。 ヘル パー ブ ロ ッ ク 内の BUFG_GT で txusrclk および txusrclk 出力が駆動 さ れ、 その周波数は、 GTH ト ラ ン ス ミ ッ ターの txdata ポー ト へ供給 さ れ る デー タ の ワー ド レー ト と 同じ にな り ます。 txusrclk および txusrclk は、 シ リ アル ク ロ ッ ク が PLL に よ っ て ワー ド レー ト と 等 し く な る よ う に分周 さ れる こ と で、 GTH ト ラ ン ス ミ ッ ター内で生成 さ れます。 ト ラ ン ス ミ ッ

(4)

タ ー ユーザー ク ロ ッ キ ン グ ネ ッ ト ワー ク の詳細は、 『UltraScale FPGAs Transceivers Wizard LogiCORE IP 製品ガ イ ド 』 (PG182) [参照 4] を参照 し て く だ さ い。 GTH レ シーバーの基準 ク ロ ッ ク は入力 さ れる SDI 信号のビ ッ ト レー ト と 正確な関係を持つ必要はあ り ません。 こ れは、 GTH レ シーバーの ク ロ ッ ク データ リ カバ リ (CDR) ユニ ッ ト が、 最大 ±1,250 ppm (< 6.6 Gb/s)、 つま り 基準 ク ロ ッ ク 周波数 に よ る 設定どお り に公称ビ ッ ト レー ト か ら ±200 ppm (> 8.0 Gb/s) でビ ッ ト レー ト を受信で き る ためです。 こ のため、 入力 さ れ る SDI 信号 と 正確な周波数関係を持たない ローカルのオシ レーターで レ シーバー基準 ク ロ ッ ク が生成可能にな り ま す。GTH レ シーバーは、 入力 さ れる SDI ビ ッ ト レー ト に周波数ロ ッ ク さ れた リ カバ リ ク ロ ッ ク を生成し ます。 こ れ ら の ク ロ ッ ク は、GTH Wizard IP か ら レ シーバーのユーザー ク ロ ッ キ ン グ ネ ッ ト ワー ク ヘルパー ブ ロ ッ ク の rxusrclk および rxusrclk2 ポー ト に出力 さ れ、 BUFG_GT で駆動 さ れます。 後ほど詳 し く 説明 し ますが、 rxusrclk と rxusrclk2 は、 SD-SDI 信 号を受信す る 場合を除いて、 すべての SDI ラ イ ン レー ト を受信する際の真の リ カバ リ ク ロ ッ ク です。

SDI アプ リ ケーシ ョ ンには、 も う 1 つ ク ロ ッ ク が必要です。 こ れはフ リ ー ラ ン ニン グの固定周波数 ク ロ ッ ク であ り 、 GTH ト ラ ン シーバーの DRP (ダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン ポー ト ) 用 ク ロ ッ ク と し て使用 さ れます。 通常、 こ れ と 同 じ ク ロ ッ ク が SDI ラ ッ パーの制御モジ ュールに供給 さ れ、 タ イ ミ ン グ制御に使用 さ れます。 こ の ク ロ ッ ク の有効な周 波数範囲は 『UltraScale FPGAs Transceivers Wizard LogiCORE IP 製品ガ イ ド 』 (PG182) [参照 4] で示 さ れ、 通常は 3.125 ~ 200 MHz です。 こ の ク ロ ッ ク の周波数は、 SDI アプ リ ケーシ ョ ンのその他の ク ロ ッ ク やデータ レー ト に対 し て特定の関連 性を持つ必要はあ り ません。SDI モー ド を変更 し た際に、 こ の ク ロ ッ ク の周波数を変更 し ないで く だ さ い。 常に同 じ公称 周波数で動作す る 必要があ り ます。 ま た、SDI アプ リ ケーシ ョ ンが動作中は停止で き ません。 こ の ク ロ ッ ク は、 デバ イ ス 内のすべての SDI イ ン ターフ ェ イ ス で使用可能です。

rxusrclk および txusrclk の周波数は SDI のモー ド に依存 し ます。 こ の関係は、 GTH ト ラ ン シーバーのアーキテ ク チ ャ に よ っ て固定 さ れてい ます。 場合に よ っ て、 デー タ ス ト リ ームのデータ レー ト が ク ロ ッ ク 周波数よ り も 低 く な る こ と があ る ため、RX と TX は ク ロ ッ ク イ ネーブルを使用し て、 データ ス ト リ ーム伝送データ レー ト を調整し ます。表1 に、 各 SDI モー ド におけ る ア ク テ ィ ブ データ ス ト リ ーム数、 rxoutclk/txoutclk 周波数、 および ク ロ ッ ク イ ネーブルを示し ます。 ク ロ ッ ク イ ネーブルは、2 データ ワー ド サ イ ク ルで ク ロ ッ ク イ ネーブルがアサー ト 中の ク ロ ッ ク 数 と し て表わ さ れます。 つま り 、1/1 は ク ロ ッ ク サ イ ク ルご と に ク ロ ッ ク イ ネーブルがアサー ト さ れる こ と を意味 し、 2/2 は 2 ク ロ ッ ク サ イ ク ル に 1 回 ク ロ ッ ク イ ネーブルがアサー ト さ れ (50% デューテ ィ サ イ ク ル)、5/6 は 5 ク ロ ッ ク ま たは 6 ク ロ ッ ク サ イ ク ルのい ずれかに 1 回 ク ロ ッ ク イ ネーブルがアサー ト さ れる こ と を意味 し、 平均する と 5.5 ク ロ ッ ク サ イ ク ルに 1 回 と な り ます ( ク ロ ッ ク イ ネーブルの ロ ジ ッ ク High パルス間に 1 イ ン ス タ ン ス の 5 ク ロ ッ ク サ イ ク ル、 それに続いて ク ロ ッ ク イ ネー ブルの ロ ジ ッ ク High パルス間に 1 イ ン ス タ ン ス の 6 ク ロ ッ ク サ イ ク ルが来る パ ターンが繰 り 返 さ れる)。 表 1: ク ロ ッ ク周波数 と ク ロ ッ ク イ ネーブルの要件

SDI モー ド ア ク テ ィ ブ デー タス ト リ ーム RX/TXDATAビ ッ ト 幅 RX/TXOUTCLK周波数 イ ネーブルク ロ ッ ク

SD-SDI 1 20 148.5 MHz 5/6

HD-SDI 2 20 74.25 ま たは 74.25/1.001 MHz 1/1

3G-SDI A 2 20 148.5 ま たは 148.5/1.001 MHz 1/1

(5)

GTH ト ラ ン シーバーの基準ク ロ ッ ク

Kintex UltraScale GTH ト ラ ン シーバーは ク ワ ッ ド に分け ら れています。 各 ク ワ ッ ド には 4 つの GTHE3_CHANNEL ト ラ ン シーバー プ リ ミ テ ィ ブ、 お よび 2 つの ク ワ ッ ド PLL (QPLL0 と QPLL1) を含む GTHE3_COMMON プ リ ミ テ ィ ブが 1 つあ り ます (図2 参照)。 QPLL0 および QPLL1 で生成 さ れた ク ロ ッ ク は、 ク ワ ッ ド 内の 4 つすべての ト ラ ン シーバーへ分配 さ れます。 各 GTHE3_CHANNEL には、 チ ャ ネル PLL (CPLL) と 呼ばれ る専用の PLL があ り 、 その ト ラ ン シーバーの RX および TX への み ク ロ ッ ク を供給で き ます。 ク ワ ッ ド 内の各 RX と TX ユニ ッ ト では、 ク ロ ッ ク ソ ース と し て QPLL0 ま たは QPLL1 のい ずれか ま たは両方を使用すべ き か、 ま たは CPLL を使用すべき かを個別に設定で き ます。 さ ら に、 こ の RX/TX ユニ ッ ト は、 ク ロ ッ ク ソ ース を QPLL0、 QPLL1、 CPLL 間で動的に切 り 換え可能です。 こ の コ ン フ ィ ギ ュ レーシ ョ ン と 動的切 り 換え機能は、SDI アプ リ ケーシ ョ ンに特に有効です。

X-Ref Target - Figure 2

図 2: GTH ト ラ ン シーバーのク ワ ッ ド コ ン フ ィ ギ ュ レーシ ョ ン

5()&/.

'LVWULEXWLRQ

*7+(B&+$11(/ &3// 7; 5; *7+(B&+$11(/ &3// 7; 5; *7+(B&20021 43// *7+(B&20021 43// *7+(B&+$11(/ &3// 7; 5; *7+(B&+$11(/ &3// 7; 5; *7+(B&+$11(/ &3// 7; 5;

IBUFDS_GTE3

IBUFDS_GTE3

;

(6)

一般的な SDI アプ リ ケーシ ョ ンでは、 5 つの異な る ビ ッ ト レー ト をサポー ト する ために GTH ト ラ ン シーバーが必要です。 • 270 Mb/s (SD-SDI の場合) • 1.485 Gb/s (HD-SDI の場合) • 1.485/1.001 Gb/s (HD-SDI の場合) • 2.97 Gb/s (3G-SDI の場合) • 2.97/1.001 Gb/s (3G-SDI の場合) GTH ト ラ ン シーバーの RX 部に含まれ る CDR ユニ ッ ト は、 6.6 Gb/s 未満の基準周波数か ら 最大 ±1250 ppm のビ ッ ト レー ト を受信で き ます。HD-SDI および 3G-SDI には、 正確に 1000 ppm 異な る 2 つのビ ッ ト レー ト があ り ます。 HD-SDI およ び 3G-SDI の場合、 1 つの基準 ク ロ ッ ク 周波数を使用し て両方のビ ッ ト レー ト を受信する こ と が可能です。 こ れ と 同 じ基 準 ク ロ ッ ク 周波数で SD-SDI の受信 も 可能です。 つま り 、 すべての SDI モー ド で必要な RX 基準 ク ロ ッ ク 周波数は 1 つの みです。 GTH ト ラ ン シーバーの基準 ク ロ ッ ク ソ ースは、 アプ リ ケーシ ョ ンに よ っ て異な り ます。 レ シーバーの基準 ク ロ ッ ク ソ ー ス は、 入力 さ れ る SDI ビ ッ ト レー ト と 正確に一致する必要がないため、 ローカルのオシ レーターで対応で き ます。 一方、 GTH ト ラ ン ス ミ ッ ターの ラ イ ン レー ト は、 常に基準 ク ロ ッ ク 周波数の整数倍であ る ため、 ト ラ ン ス ミ ッ ターの基準 ク ロ ッ ク 周波数は、 送信 さ れ る デー タ のデー タ レー ト と 正確な関係を持つ必要があ り ます。 ほ と んどの場合、 ト ラ ン ス ミ ッ タ ーの基準 ク ロ ッ ク はゲン ロ ッ ク PLL で生成 さ れる ため、 ス タ ジオのビデオ基準信号か ら GTH ト ラ ン ス ミ ッ ター ラ イ ン レー ト を生成 し ます。 SDI パス スルー接続な どの場合、 ト ラ ン ス ミ ッ ター ラ イ ン レー ト は、 SDI 信号を受信 し てい る GTH レ シーバーの リ カバ リ ク ロ ッ ク か ら 生成 さ れます。 その よ う な場合、 ト ラ ン ス ミ ッ ターの基準 ク ロ ッ ク と し て使 用す る 前に、 外部 PLL で リ カバ リ ク ロ ッ ク のジ ッ ターを軽減する必要があ り ます。 一般的な SDI アプ リ ケーシ ョ ンでは、 2 つの基準 ク ロ ッ ク が QPLL0 と QPLL1に接続 さ れます。 ク ワ ッ ド 内の各 ト ラ ン シーバーの RX ユニ ッ ト と TX ユニ ッ ト は、 その時点で必要な ビ ッ ト レー ト に従っ て PLL ク ロ ッ ク を動的に切 り 替え ま す。PLL の TX および RX ユニ ッ ト シ リ アル ク ロ ッ ク ソ ース の選択には、 GTH txsysclksel および rxsysclksel ポー ト を使用 し ます。図3 に、 こ の一般的な SDI アプ リ ケーシ ョ ンの コ ン フ ィ ギ ュ レーシ ョ ン を示 し ます。 こ の図では、 イ ンプ リ メ ン テーシ ョ ンで動的に使用 さ れないマルチプ レ ク サーを配線に置 き 換えてお り 、 ク ワ ッ ド 間の基準 ク ロ ッ ク 配線は表示 し て い ません。 こ のため、 ほ と ん ど の SDI アプ リ ケーシ ョ ンでは、 2 つの基準 ク ロ ッ ク を GTH ク ワ ッ ド へ供給し ます。 通常、 2 つの基準 ク ロ ッ ク の組み合わせは、148.5 MHz と 148.5/1.001 MHz ま たは 74.25 MHz と 74.25/1.001 MHz です。 重要: こ のアプ リ ケーシ ョ ン ノ ー ト の説明では、 こ の 148.5 MHz と 148.5/1.001 MHz 基準ク ロ ッ ク周波数を使用し ます。 ただ し 、 特に明記 さ れていな く て も 、 も う 1 つの基準 ク ロ ッ ク 周波数の組み合わせ (74.25 MHz と 74.25/1.001 MHz) も サ ポー ト さ れてい ます。

(7)

各 GTH RX および TX ユニ ッ ト には、選択 し た ク ロ ッ ク を指定可能な 2 の累乗の整数値で分周する シ リ アル ク ロ ッ ク 分周 器があ り ます。 こ れに よ っ て、 ク ワ ッ ド 内のすべての RX ユニ ッ ト は QPLL か ら の同じ ク ロ ッ ク 周波数を使用し なが ら

も 、 異な る シ リ アル ク ロ ッ ク 分周値を用いて異な る ラ イ ン レー ト で動作で き る よ う にな り ます。 3G-SDI ビ ッ ト レー ト は HD-SDI ビ ッ ト レー ト の 2 倍の速度 と な る ため、 こ の機能は SDI イ ン ターフ ェ イ ス に有効です。 270 Mb/s SD-SDI の場合、 GTH ト ラ ン シーバーは 11 倍のオーバーサンプ リ ン グ テ ク ニ ッ ク に よ っ て 3G-SDI ラ イ ン レー ト で動作し ます。 RX およ び TX ユニ ッ ト では、 2 の累乗値で指定 し た異な る 4 つの分周器を用いて ク ロ ッ ク ソ ース を分周で き る ため、 基準 ク ロ ッ ク 周波数を 2 つ使用する だけですべての SDI ビ ッ ト レー ト の送受信が可能です。 RX お よび TX ユニ ッ ト のシ リ アル ク ロ ッ ク 分周器の値は、RXOUT_DIV お よび TXOUT_DIV 属性を利用 し て DRP か ら 動 的に変更可能です。 図3 に示すコ ン フ ィ ギ ュ レーシ ョ ンは、 ほ と んどの SDI アプ リ ケーシ ョ ンに最適な ソ リ ューシ ョ ンです。 その理由は、 次 の と お り です。 • レ シーバーは、QPLL0 と QPLL1 を使用し てすべての SDI ビ ッ ト レー ト を受信で き、 基準 ク ロ ッ ク か ら 生成 さ れたシ リ アル ク ロ ッ ク を ク ワ ッ ド 内のすべての レ シーバーへ供給し ます。 • ト ラ ン ス ミ ッ タ ーは、 サポー ト さ れてい る すべての SDI ビ ッ ト レー ト で送信する のに必要な 2 つのシ リ アル ク ロ ッ ク を得 る ため、QPLL0 と QPLL1 か ら の ク ロ ッ ク を動的に切 り 換え る こ と がで き ます。 • ク ワ ッ ド 内の 4 つのレ シーバー と 4 つの ト ラ ン ス ミ ッ ターは完全に独立し てい る ため、 それぞれ異な る SDI ビ ッ ト レー ト で動作で き 、 ほかの RX や TX ユニ ッ ト へ干渉する こ と な く ビ ッ ト レー ト を動的に切 り 換え る こ と も 可能で す。

X-Ref Target - Figure 3

図 3: SDI 用の GTH 基準ク ロ ッ クのイ ン プ リ メ ン テーシ ョ ン (一般的な場合) 0+] ,%8)'6B*7( 43// 0+] ,%8)'6B*7( *7+(B&20021 *7+(B&+$11(/ 5; 7; 7;6<6&/.6(/ 5;287B',9 7;287B',9 43// *7+(B&20021 5;6<6&/.6(/ *7+(B&+$11(/ 5; 7; 7;6<6&/.6(/ 5;287B',9 7;287B',9 5;6<6&/.6(/ *7+(B&+$11(/ 5; 7; 7;6<6&/.6(/ 5;287B',9 7;287B',9 5;6<6&/.6(/ *7+(B&+$11(/ 5; 7; 7;6<6&/.6(/ 5;287B',9 7;287B',9 5;6<6&/.6(/ ;

(8)

• ゲン ロ ッ ク 機能を搭載 し た アプ リ ケーシ ョ ン では、 最新のゲン ロ ッ ク PLL が同期基準入力信号か ら 2 つの基準 ク ロ ッ ク 周波数を同時に提供で き ます。 SDI アプ リ ケーシ ョ ンに よ っ ては、 さ ま ざ ま な ト ラ ン ス ミ ッ ターが同 じ公称ビ ッ ト レー ト で送信 し ていて も 、 わずかに 異な る ビ ッ ト レー ト で動作する場合があ り ます。 こ れは、 各 TX のビ ッ ト レー ト が、 関連する SDI RX で受信 さ れ る SDI のビ ッ ト レー ト と 正確に一致 し なければな ら ない SDI ルーターで よ く 見 ら れます。 同 じ公称ビ ッ ト レー ト で送信する 2 つの ト ラ ン ス ミ ッ タ ーのビ ッ ト レー ト には、 数 ppm の差があ り ます。 こ の よ う なアプ リ ケーシ ョ ンは、 各 TX ユニ ッ ト が CPLL を排他的に使用で き る Kintex UltraScale GTH の ク ワ ッ ド アーキテ ク チ ャ に よ っ てサポー ト で き ますが、 こ れには 各 CPLL に専用の基準 ク ロ ッ ク 周波数を供給する必要があ り 、 その上、 使用可能な GTH 基準 ク ロ ッ ク 入力数には制限が あ り ます。 基準 ク ロ ッ ク 入力は各 GTH ク ワ ッ ド に 2 つずつあ り ます。 ク ワ ッ ド は上下 ク ワ ッ ド か ら の基準 ク ロ ッ ク を使 用で き る ため、 デバ イ ス内の複数の GTH ク ワ ッ ド に 5 つの異な る基準 ク ロ ッ ク 周波数 (RX ユニ ッ ト に 1 つ、 4 つの TX ユ ニ ッ ト に 4 つ) を供給で き ますが、 デバ イ ス内の GTH TX すべてが独自の基準 ク ロ ッ ク を持つには、 基準 ク ロ ッ ク 入力数 が足 り ません。 こ の よ う な場合、 そのシ リ アル ク ロ ッ ク の周波数か ら ± 数百 ppm で GTH TX をプルで き る PICXO テ ク ニ ッ ク が非常に有効です。 こ のため、 各 SDI TX のビ ッ ト レー ト が受信 さ れる SDI 信号のビ ッ ト レー ト と 個別に ロ ッ ク す る 必要があ る アプ リ ケーシ ョ ンでは、図3 に示す一般的な基準 ク ロ ッ ク を利用 し て実装 し、 さ ら に PICXO テ ク ニ ッ ク を 利用 し て各 GTH TX にそれぞれの SDI ト ラ ン ス ミ ッ ターの正確な ビ ッ ト レー ト を設定し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では、PICXO について説明 し てい ません。 PICXO の使用については、 ザ イ リ ン ク ス テ ク ニカル サポー ト ま でお問 い合わせ く だ さ い。

リ セ ッ ト

GTH ト ラ ン シーバーには、 『UltraScale アーキテ ク チ ャ GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG576) [参照 5] で説明 さ れてい る と お り 、 非常に特殊な リ セ ッ ト 要件があ り ます。GTH ト ラ ン シーバーでは、 PLL の リ セ ッ ト と GTH ト ラ ン シー バーの リ セ ッ ト (gttxreset および gtrxreset) を注意深 く 調整する必要があ り ます。 こ の調整は、 UltraScale FPGAs

Transceivers Wizard を使用 し て GTH ト ラ ン シーバーを生成する際に、 ク ロ ッ キ ン グ ネ ッ ト ワー ク と リ セ ッ ト コ ン ト ロー ラ ー ヘルパー ブ ロ ッ ク を有効にする と 簡単にな り ます。 リ セ ッ ト コ ン ト ロー ラ ー ヘルパー ブ ロ ッ ク が、 複雑な GTH ト ラ ン シーバーの リ セ ッ ト シーケ ン ス に対応する よ う 調整し ます。 SDI サンプル デザ イ ンで提供する制御モジ ュールは、 すべての SDI コ ア コ ン フ ィ ギ ュ レーシ ョ ン ア ッ プデー ト 用の リ セ ッ ト アサーシ ョ ン を管理し 、 GTH ト ラ ン シーバーが確 実かつ適切に動作す る よ う に し ます。

GTH TX の リ セ ッ ト

UltraScale FPGAs Transceivers Wizard は、 GTH ト ラ ン シーバーの TX 部を リ セ ッ ト する ために 3 つの方法を提供 し ます。 • gtwiz_reset_all_in: ロ ジ ッ ク High にアサー ト さ れます。 TX 部 と RX 部の PLL、 および GTH ト ラ ン シーバーのア ク

テ ィ ブなデー タ 方向を リ セ ッ ト す る ユーザー信号です。 こ の リ セ ッ ト は、 通常は ス タ ー ト コ ンデ ィ シ ョ ン中はア サー ト さ れます。

• gtwiz_reset_tx_pll_ お よび _datapath_in: ロ ジ ッ ク High にアサー ト さ れます。 GTH ト ラ ン シーバーの TX データ方向お よ び関連す る PLL を リ セ ッ ト する ユーザー信号です。 特に、 TX PLL の基準 ク ロ ッ ク が変更 さ れた と き には、 こ の リ セ ッ ト が有用です。 • gtwiz_reset_tx_datapath_in: ロ ジ ッ ク High にアサー ト さ れます。 ト ラ ン シーバー プ リ ミ テ ィ ブの TX データ方向を リ セ ッ ト す る ユーザー信号です。 こ の リ セ ッ ト 信号は、tx_mode、 tx_m、 お よび tx_mux_pattern ポー ト の少な く と も 1 つが変更 さ れる と 、 SDI TX アプ リ ケーシ ョ ンに対 し てアサー ト さ れます。 QPLL と CPLL を それぞれ 1 つずつ使用する場合、 こ れ ら 2 種類の PLL の動作周波数範囲は異な り ます。 SDI アプ リ ケー シ ョ ンでは、QPLL か ら のシ リ アル ク ロ ッ ク は、 CPLL か ら のシ リ アル ク ロ ッ ク の 2 倍の周波数 と な り ます。 こ のため、 SDI ラ ッ パーの tx_m 入力ポー ト が変更 さ れて、 2 つの PLL 間で GTH TX の動的切 り 替えが要求 さ れ る と き、 ト ラ ン ス ミ ッ タ ーが同 じ SDI モー ド の ま ま の場合には、 TXOUT_DIV DRP 属性を介 し てシ リ アル ク ロ ッ ク 分周器を動的に変更す る 必要があ り ます。 た と えば、 シ リ アル ク ロ ッ ク ソ ース と し て QPLL を使用する 1.485 Gb/s の HD-SDI ビ ッ ト レー ト か ら 、 シ リ アル ク ロ ッ ク ソ ース と し て CPLL を使用する 1.485/1.001 の HD-SDI ビ ッ ト レー ト へ切 り 替え る場合には、 txsysclksel ポー ト と TXOUT_DIV DRP 属性の両方を変更する必要があ り ます。 ただ し、 SDI ラ ッ パーの tx_mode 入力ポー

ト で選択 さ れた SDI モー ド が tx_m ポー ト と 同時に変更 さ れ る場合、 シ リ アル ク ロ ッ ク 分周器の変更が必要 と は限 り ませ ん。 た と えば、CPLL を使用する HD-SDI モー ド か ら QPLL を使用する 3G-SDI モー ド へ変更する場合、 CPLL か ら QPLL への変更ではシ リ アル ク ロ ッ ク 周波数が必然的に増加し、 結果 と し て ラ イ ン レー ト が 2 倍にな る ため、 Txrate ポー ト を 変更す る 必要はあ り ません。 tx_mode と tx_m は、 SDI ラ ッ パーの独立 し た入力ポー ト であ る ため、 こ れ ら のポー ト の一方が変更 さ れた場合には、 txsysclksel ポー ト および TXOUT_DIV DRP 属性が動的に変更 さ れ る前に、 わずかなセ ト リ ン グ遅延が適用 さ れます。 こ の 遅延に よ っ て、 も う 一方のポー ト が変更 さ れ る ま でに短い時間が与え ら れ る ため、 こ の間に TX 制御ロ ジ ッ ク は こ れ ら の ポー ト お よ び DRP 属性の変更が必要であ る かを判断 し ます。

(9)

SDI ラ ッ パーには TX 部の リ セ ッ ト 入力が 2 つあ り ます。

• tx_rst_in: ロ ジ ッ ク High にアサー ト さ れ る と 、 SDI コ アの SDI TX データ パス、 TX コ ン ト ロー ラ ー モジ ュール、 およ び GTH ト ラ ン シーバーの TX 部を リ セ ッ ト し ます。 • gth_wiz_reset_tx_pll_and_datapath_in: ロ ジ ッ ク High にアサー ト さ れる と 、 TX に関連する PLL を リ セ ッ ト し てか ら 、 GTH ト ラ ン シーバーの TX 部を リ セ ッ ト し ます。

GTH RX の リ セ ッ ト

「GTH TX の リ セ ッ ト 」 で説明 さ れてい る GTH TX リ セ ッ ト と 同様に、 ユーザー アプ リ ケーシ ョ ンでは、 こ のセ ク シ ョ ン で説明 し たすべての RX リ セ ッ ト と 動的変更動作が互いに干渉 し ない よ う に SDI 制御モジ ュールに よ っ て慎重に調整 さ れ ます。

UltraScale FPGAs Transceivers Wizard は、 GTH ト ラ ン シーバーの RX 部を リ セ ッ ト する ために 3 つの方法を提供 し ます。 • gtwiz_reset_all_in: ロ ジ ッ ク High にアサー ト さ れます。 TX 部 と RX 部の PLL、 および GTH ト ラ ン シーバーのア ク テ ィ ブなデー タ 方向を リ セ ッ ト す る ユーザー信号です。 こ の リ セ ッ ト は、 通常は ス タ ー ト コ ンデ ィ シ ョ ン中はア サー ト さ れます。 • gtwiz_reset_rx_pll_and_datapath_in: ロ ジ ッ ク High にアサー ト さ れます。 GTH ト ラ ン シーバーの RX データ方向および 関連す る PLL を リ セ ッ ト する ユーザー信号です。 特に、 RX PLL の基準 ク ロ ッ ク が変更 さ れた と き には、 こ の リ セ ッ ト が有用です。 • gtwiz_reset_rx_datapath_in: ロ ジ ッ ク High にアサー ト さ れます。 ト ラ ン シーバー プ リ ミ テ ィ ブの RX データ方向を リ セ ッ ト す る ユーザー信号です。 こ の リ セ ッ ト 信号は、tx_mode、 rx_m および rx_mux_pattern ポー ト の少な く と も 1 つ が変更 さ れ る と 、SDI RX アプ リ ケーシ ョ ンに対 し てアサー ト さ れます。 CPLL および QPLL は、 <6.6 Gb/s ビ ッ ト レー ト に対し て ±1250 ppm の許容範囲があ る ため、 1 つの CPLL ま たは QPLL で SD-SDI か ら 3G-SDI のすべてのビ ッ ト レー ト (0 ppm および 1,000 ppm) をサポー ト し ます。

SDI モー ド (SD-SDI、 HD-SDI および 3G-SDI) が変わ る と 、 次の 4 つの項目の 1 つま たは複数を変更する必要があ り ます。 • rxcdrhold ポー ト

• イ コ ラ イ ゼーシ ョ ンの有効化/無効化 (LPM お よび DFE) • RXCDR_CFG 属性

• RXOUT_DIV 属性

RXCDR_CFG2 および RXOUT_DIV 属性は、 DRP を介 し て変更 さ れます。 RX SDI モー ド が SD-SDI の場合は、 rxcdrhold ポー ト を ロ ジ ッ ク High にアサー ト し ます。 LPM および DFE は、 SD-SDI の場合に無効に設定 し、 その他の SDI ラ イ ン レー ト の場合に有効に設定 し ます。HD-SDI および 3G-SDI へ切 り 替えて現在の ラ イ ン レー ト に CDR を最適化する と 、 RXCDR_CFG2 属性が変更 さ れます。 RXOUT_DIV 属性は、 GTH RX 用のシ リ アル ク ロ ッ ク 分周器を制御 し ます。 GTH RX は、 こ れ ら の 4 つのいずれかに動的変更 さ れた後、 GT Wizard IP の gtwiz_reset_rx_datapath_in ポー ト を使用 し て リ セ ッ ト す る 必要があ り ます。SDI モー ド の変更シーケ ン ス で こ れ ら の 1 つ以上が変更 さ れる場合は、 すべての変更が行われ た後に gtwiz_reset_rx_datapath_in を 1 サ イ ク ル間アサー ト する必要があ り ます。 SDI ラ ッ パーには RX 部の リ セ ッ ト 入力が 2 つあ り ます。

• rx_rst_in: ロ ジ ッ ク High にアサー ト さ れる と 、 SDI コ アの SDI RX データ パス、 RX コ ン ト ロー ラ ー モジ ュール、 お よ び GTH ト ラ ン シーバーの RX 部を リ セ ッ ト し ます。 • gth_wiz_reset_rx_pll_and_datapath_in: ロ ジ ッ ク High にアサー ト さ れる と 、 RX に関連する PLL を リ セ ッ ト し てか ら 、 GTH ト ラ ン シーバーの RX 部を リ セ ッ ト し ます。

SDI ア プ リ ケーシ ョ ンの GTH PLL の使用例

こ のセ ク シ ョ ンでは、SDI アプ リ ケーシ ョ ンで使用 さ れる PLL および ト ラ ン シーバーの一般的な コ ン フ ィ ギ ュ レーシ ョ ン について説明 し ます。 すべての コ ン フ ィ ギ ュ レーシ ョ ンについて言及 し てい る わけではあ り ませんが、PLL の リ セ ッ ト 信 号 と ロ ッ ク 信号の適切な接続については十分に説明 し てい ます。 SDI ラ ッ パーには 4 つの固定パ ラ メ ーターがあ り 、 こ れ ら は QPLL か ら のシ リ アル ク ロ ッ ク ソ ース、 ま たは CPLL か ら の シ リ アル ク ロ ッ ク ソ ース を指定 し ます。 PLL ク ロ ッ ク の配線は、 こ れ ら の属性で制御 さ れません。 こ れ ら は、 適切な RX お よ び TX シ リ アル ク ロ ッ ク 分周器の値を計算 し、 TX の場合は、 rx_m および tx_m の現在の値に基づいて、 GTH Wizard

(10)

IP の rxpllclksel_in および txpllclksel_in を駆動する値を計算する ために使用 さ れます。 こ れ ら の 4 つのパ ラ メ ーターは、 2 ビ ッ ト バ イ ナ リ 値で、 次の説明の と お り に指定する必要があ り ます。 • RXPLLCLKSEL_RX_M_0 パ ラ メ ーターは、 rx_m が ロ ジ ッ ク Low の と き の GTH RX の ク ロ ッ ク ソ ース に基づいて、 2'b00 (CPLL)、 2'b11 (QPLL0)、 あ る いは 2'b10 (QPLL1) に設定し ます。 • RXPLLCLKSEL_RX_M_1 パ ラ メ ーターは、 2'b00 (CPLL)、 2'b11 (QPLL0)、 あ る いは 2'b10 (QPLL1) に設定 し ま す。 こ のアプ リ ケーシ ョ ン ノ ー ト および リ フ ァ レ ン ス デザ イ ンでは、 こ のパ ラ メ ーターを使用 し ません。 こ のパ ラ メ ー タ ーは RXPLLCLKSEL_RX_M_0 と 同 じ値に設定で き ます。 • TXPLLCLKSEL_TX_M_0 パ ラ メ ーターは、 tx_m が ロ ジ ッ ク Low の と き の GTH TX の ク ロ ッ ク ソ ース に基づいて、 2'b00 (CPLL)、 2'b11 (QPLL0)、 あ る いは 2'b10 (QPLL1) に設定し ます。 • TXPLLCLKSEL_TX_M_1 パ ラ メ ーターは、 tx_m が ロ ジ ッ ク High の と き の GTH TX の ク ロ ッ ク ソ ース に基づいて、 2'b00 (CPLL)、 2'b11 (QPLL0)、 あ る いは 2'b10 (QPLL1) に設定し ます。 TX ク ロ ッ ク には 2 つのパ ラ メ ーターがあ り 、 SDI ラ ッ パーの tx_m ポー ト を使用する 2 つの PLL ク ロ ッ ク ソ ース間の動 的切 り 替えに対応 し ます。TXPLLCLKSEL_TX_M_0 は、 tx_m が ロ ジ ッ ク Low の と き に txpllclksel_in を駆動する ために使 用 さ れ、TXPLLCLKSEL_TX_M_1 は、 tx_m が ロ ジ ッ ク High の と き に使用 さ れます。 TX PLL を動的に切 り 替えないアプ リ ケーシ ョ ンでは、TX PLL の ク ロ ッ ク ソ ース に従っ て、 TXPLLCLKSEL_TX_M_0 と TXPLLCLKSEL_TX_M_1 に同じ 値 を指定 し て く だ さ い。

使用モデル 1:

使用モデル 1 は ク ワ ッ ド 内のア ク テ ィ ブな ト ラ ン シーバー 1 つで構成 さ れ、RX は QPLL1 を使用し 、TX は QPLL0/QPLL1 を動的に切 り 替え ます。 こ の使用モデルでは、1 つの QPLL し か使用 さ れません。 3G-SDI の両方のビ ッ ト レー ト およびそれ よ り 低い ラ イ ン レー ト は、TX および RX でサポー ト さ れます。 TX は、 QPLL1 と CPLL と の間で切 り 替え可能ですが、 RX は、 < 6.6 Gb/s の ビ ッ ト レー ト で許容誤差が ±1250 ppm の QPLL1 を使用 し ます。図4 に使用モデル 1 を示 し ます。 次の接続が必要です。 • 1 つの基準 ク ロ ッ ク を gth_qpll1_refclk_p_in および gth_qpll1_refclk_n_in ポー ト へ接続し ます。 • 1 つの基準 ク ロ ッ ク を gth_cpll_refclk_p_in および gth_cpll_refclk_n_in ポー ト へ接続 し ます。

X-Ref Target - Figure 4

図 4: PLL 使用モデル 1 および 2 ([WHUQDO5HIFON 6RXUFH ,%8)'6B*7( 6',:UDSSHU ([WHUQDO5HIFON 6RXUFH ,%8)'6B*7( TSOOBUHIFON TSOOBORFN TSOOBFON 43// TSOOBUHVHW *7:L]DUG,3 6037( 6',&RUH &RQWURO 0RGXOH W[XVUFON U[XVUFON

JWKBUHVHWBDOO

JWKBUHVHWBW[BSOOBDQGBGDWDSDWK

JWKBUHVHWBU[BSOOBDQGBGDWDSDWK

JWKBGUSFON

6',:UDSSHU6XSSRUW

JWKBTSOOBUHVHWBLQ

W[SOOFONVHOBLQ U[SOOFONVHOBLQ &3// 7; *7+(B&20021 ;

(11)

• gth_qpll0_refclk_p_in と gth_qpll0_refclk_n_in ポー ト は ロ ジ ッ ク Low に接続 し ます。 • gth_drpclk_in は、 GTH Wizard IP の生成中に指定 さ れた ク ロ ッ ク に接続 し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では 27 MHz です。 • gth_wiz_reset_tx_pll_and_datapath_in 入力ポー ト は、 QPLL1 および CPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る場 合のみ ロ ジ ッ ク Low にな る必要があ り ます。 • gth_wiz_reset_rx_pll_and_datapath_in 入力ポー ト は、 QPLL1 への基準 ク ロ ッ ク ソ ース が安定 し てい る場合のみロ ジ ッ ク Low にな る必要があ り ます。 • SDI ラ ッ パー サポー ト の RXPLLCLKSEL_RX_M_0 パ ラ メ ーターは、 2'b10 (QPLL1) に設定 し ます。 • SDI ラ ッ パー サポー ト の RXPLLCLKSEL_RX_M_1 パ ラ メ ーターは、 2'b10 (QPLL1) に設定 し ます。 • SDI ラ ッ パー サポー ト の TXPLLCLKSEL_TX_M_0 パ ラ メ ーターは、 2'b10 (QPLL1) ま たは 2'b00 (CPLL) に設定 し ます。 • SDI ラ ッ パー サポー ト の TXPLLCLKSEL_TX_M_1 パ ラ メ ーターは、 基準 ク ロ ッ ク の接続に応じ て 2'b00 (CPLL) ま たは 2'b10 (QPLL1) のいずれかに設定する必要があ り 、 TXPLLCLKSEL_TX_M_0 では使用 さ れません。 • 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL1 を リ セ ッ ト し なければな ら ない場合は、 SDI ラ ッ パー サポー ト の gth_qpll1_reset_in 入力を アサー ト し ます。 注記: 使用モデルは QPLL1 の代わ り に QPLL0 を使用する こ と も で き ます。 こ の場合、 パ ラ メ ーターは 2'b11 (QPLL0) に 設定す る 必要があ り ます。 基準 ク ロ ッ ク ポー ト はそれに従っ て接続し ます。

使用モデル 2

使用モデル 2 は ク ワ ッ ド 内のア ク テ ィ ブな ト ラ ン シーバー 1 つで構成 さ れ、 RX は CPLL を使用 し、 TX は QPLL0/QPLL1 を動的に切 り 替え ます。 こ の使用モデルでは、1 つの QPLL し か使用 さ れません。 3G-SDI の両方のビ ッ ト レー ト およびそれ よ り 低い ラ イ ン レー ト は、TX および RX でサポー ト さ れます。 TX は、 QPLL1 と CPLL と の間で切 り 替え可能ですが、 RX は、 < 6.6 Gb/s の ビ ッ ト レー ト で許容誤差が ±1250 ppm の CPLL を使用 し ます。図4 に使用モデル 2 を示 し ます。 次の接続が必要です。 • 1 つの基準 ク ロ ッ ク を gth_qpll1_refclk_p_in および gth_qpll1_refclk_n_in ポー ト へ接続し ます。 • 1 つの基準 ク ロ ッ ク を gth_cpll_refclk_p_in および gth_cpll_refclk_n_in ポー ト へ接続 し ます。 • gth_qpll0_refclk_p_in と gth_qpll0_refclk_n_in ポー ト は ロ ジ ッ ク Low に接続 し ます。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定 さ れた ク ロ ッ ク に接続 し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では 27 MHz です。 • gth_wiz_reset_tx_pll_and_datapath_in 入力ポー ト は、 QPLL1 および CPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る場 合のみ ロ ジ ッ ク Low にな る必要があ り ます。 • gth_wiz_reset_rx_pll_and_datapath_in 入力ポー ト は、 QPLL1 への基準 ク ロ ッ ク ソ ース が安定 し てい る場合のみロ ジ ッ ク Low にな る必要があ り ます。 • SDI ラ ッ パー サポー ト の RXPLLCLKSEL_RX_M_0 パ ラ メ ーターは、 2'b00 (CPLL) に設定し ます。 • SDI ラ ッ パー サポー ト の RXPLLCLKSEL_RX_M_1 パ ラ メ ーターは、 2'b00 (CPLL) に設定し ます。 • SDI ラ ッ パー サポー ト の TXPLLCLKSEL_TX_M_0 パ ラ メ ーターは、 2'b10 (QPLL1) ま たは 2'b00 (CPLL) に設定 し ます。 • SDI ラ ッ パー サポー ト の TXPLLCLKSEL_TX_M_1 パ ラ メ ーターは、 基準 ク ロ ッ ク の接続に応じ て 2'b00 (CPLL) ま たは 2'b10 (QPLL1) のいずれかに設定する必要があ り 、 TXPLLCLKSEL_TX_M_0 では使用 さ れません。 • 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL1 を リ セ ッ ト し なければな ら ない場合は、 SDI ラ ッ パー サポー ト の gth_qpll1_reset_in 入力を アサー ト し ます。 注記: 使用モデルは QPLL1 の代わ り に QPLL0 を使用する こ と も で き ます。 こ の場合、 パ ラ メ ーターは 2'b11 (QPLL0) に 設定す る 必要があ り ます。 基準 ク ロ ッ ク ポー ト はそれに従っ て接続し ます。

使用モデル 3

使用モデル 3 は ク ワ ッ ド 内の複数のア ク テ ィ ブ ト ラ ン シーバーで構成 さ れ、 すべての RX は QPLL1 を使用し 、 すべての TX は QPLL1/CPLL を動的に切 り 替え ます。

(12)

こ の使用モデルには、 ク ワ ッ ド 内に複数のア ク テ ィ ブ ト ラ ン シーバーがあ り ます。 すべての レ シーバーは、 QPLL1 か ら ク ロ ッ ク 供給 さ れます。 各 ト ラ ン ス ミ ッ タ ーは、QPLL1 および CPLL を動的に切 り 替えて ど ち ら かの ク ロ ッ ク で駆動 さ れます。図5 に使用モデル 3 を示 し ます。

次の接続が必要です。

• 基準 ク ロ ッ ク を gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポー ト へそれぞれ接続し ます。 • gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポー ト は ロ ジ ッ ク Low に接続 し ます。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定 さ れた ク ロ ッ ク に接続 し ます。 SDI サンプル デザ イ ンでは 27 MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in 入力ポー ト は、 QPLL1 および CPLL への基準 ク ロ ッ ク ソ ース が安定 し てい る場 合のみ ロ ジ ッ ク Low にな る必要があ り ます。

• gth_wiz_reset_rx_pll_and_datapath_in 入力ポー ト は、 QPLL1 への基準 ク ロ ッ ク ソ ース が安定 し てい る場合のみロ ジ ッ ク Low にな る必要があ り ます。

• SDI ラ ッ パー サポー ト および SDI ラ ッ パーの RXPLLCLKSEL_RX_M_0 パ ラ メ ーターは、 2'b10 (QPLL1) に設定 し ま す。

• SDI ラ ッ パー サポー ト および SDI ラ ッ パーの RXPLLCLKSEL_RX_M_1 パ ラ メ ーターは、 2'b10 (QPLL1) に設定 し ま す。

• SDI ラ ッ パー サポー ト および SDI ラ ッ パーの TXPLLCLKSEL_TX_M_0 パ ラ メ ーターは、 2'b10 (QPLL1) ま たは 2'b00 (CPLL) に設定 し ます。

• SDI ラ ッ パー サポー ト および SDI ラ ッ パーの TXPLLCLKSEL_TX_M_1 パ ラ メ ーターは、 2'b10 (QPLL1) ま たは 2'b00 (CPLL) に設定 し ます。

• 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL1 を リ セ ッ ト し なければな ら ない場合は、 SDI ラ ッ パー サポー ト の gth_qpll1_reset_in 入力を アサー ト し ます。

SDI ラ ッ パー サポー ト の qpll0/1_clk、 qpll0/1_refclk、 および qpll0/1_lock 出力ポー ト は、 SDI ラ ッ パーの対応する ポー ト へ 接続 し ます。

注記: 使用モデルは QPLL1 の代わ り に QPLL0 を使用する こ と も で き ます。 こ の場合、 パ ラ メ ーターは 2'b11 (QPLL0) に 設定す る 必要があ り ます。 基準 ク ロ ッ ク ポー ト はそれに従っ て接続し ます。

(13)

X-Ref Target - Figure 5 図 5: 使用モデル 3 および 4 External Refclk Source qpll0_refclk qpll0_lock SDI Wrapper qpll0_clk QPLL0 qpll0_reset External Refclk Source IBUFDS_GTE3 qpll1_reset GT Wizard IP SMPTE-SDI Core Control Module txusrclk rxusrclk gth_reset_all gth_reset_tx_pll_and_datapath gth_reset_rx_pll_and_datapath gth_drpclk

SDI Wrapper Support

gth_qpll0_reset_in

gth_qpll1_reset_in rxpllclksel_intxpllclksel_in

SDI Wrapper GT Wizard IP SMPTE-SDI Core Control Module txusrclk rxusrclk gth_reset_all gth_reset_tx_pll_and_datapath gth_reset_rx_pll_and_datapath txpllclksel_in rxpllclksel_in SDI Wrapper GT Wizard IP SMPTE-SDI Core Control Module txusrclk rxusrclk gth_reset_all gth_reset_tx_pll_and_datapath gth_reset_rx_pll_and_datapath txpllclksel_in rxpllclksel_in IBUFDS_GTE3 GTHE3_COMMON CP LL T X ;

(14)

使用モデル 4

使用モデル 4 は ク ワ ッ ド 内の複数のア ク テ ィ ブ ト ラ ン シーバーで構成 さ れ、 すべての RX は CPLL を使用し、 すべての TX は QPLL1/CPLL を動的に切 り 替え ます。 こ の使用モデルでは、 複数の ト ラ ン シーバーが ク ワ ッ ド 内でア ク テ ィ ブにな っ てい ます。 すべての レ シーバーは、QPLL1 か ら ク ロ ッ ク 供給 さ れます。 各 ト ラ ン ス ミ ッ タ ーは、QPLL1 および CPLL を動的に切 り 替えて ど ち ら かの ク ロ ッ ク で駆 動 さ れます。図5 に使用モデル 4 を示 し ます。 次の接続が必要です。 • 基準 ク ロ ッ ク を gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポー ト へそれぞれ接続し ます。 • gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポー ト は ロ ジ ッ ク Low に接続 し ます。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定 さ れた ク ロ ッ ク に接続 し ます。 SDI サンプル デザ イ ンでは 27 MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in 入力ポー ト は、 CPLL への基準 ク ロ ッ ク ソ ース が安定し てい る場合のみロ ジ ッ ク Low にな る必要があ り ます。

• gth_wiz_reset_rx_pll_and_datapath_in 入力ポー ト は、 QPLL1 への基準 ク ロ ッ ク ソ ース が安定 し てい る場合のみロ ジ ッ ク Low にな る必要があ り ます。

• SDI ラ ッ パー サポー ト および SDI ラ ッ パーの RXPLLCLKSEL_RX_M_0 パ ラ メ ーターは、 2'b00 (CPLL) に設定 し ま す。

• SDI ラ ッ パー サポー ト および SDI ラ ッ パーの RXPLLCLKSEL_RX_M_1 パ ラ メ ーターは、 2'b00 (CPLL) に設定 し ま す。

• SDI ラ ッ パー サポー ト および SDI ラ ッ パーの TXPLLCLKSEL_TX_M_0 パ ラ メ ーターは、 2'b10 (QPLL1) ま たは 2'b00 (CPLL) に設定 し ます。

• SDI ラ ッ パー サポー ト および SDI ラ ッ パーの TXPLLCLKSEL_TX_M_1 パ ラ メ ーターは、 2'b10 (QPLL1) ま たは 2'b00 (CPLL) に設定 し ます。 • 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL1 を リ セ ッ ト し なければな ら ない場合は、 SDI ラ ッ パー サポー ト の gth_qpll1_reset_in 入力を アサー ト し ます。 注記: 使用モデルは QPLL1 の代わ り に QPLL0 を使用する こ と も で き ます。 こ の場合、 パ ラ メ ーターは 2'b11 (QPLL0) に 設定す る 必要があ り ます。 基準 ク ロ ッ ク ポー ト はそれに従っ て接続し ます。

使用モデル 5

使用モデル 5 は ク ワ ッ ド 内のア ク テ ィ ブな ト ラ ン シーバー 1 つで構成 さ れ、RX は QPLL1 を使用し 、TX は QPLL0/QPLL1 を動的に切 り 替え ます。 使用モデル 5 は 2 つの QPLL を使用 し ます。 3G-SDI のビ ッ ト レー ト お よびそれ よ り 低い ラ イ ン レー ト は、 TX および RX でサポー ト さ れます。TX は、 QPLL0 と QPLL1 と の間で切 り 替え可能です。 RX は、 < 6.6 Gb/s のビ ッ ト レー ト で許容誤 差が ±1250 ppm の QPLL1 を使用 し ます。図3 および図6 に、 使用モデル 5 を示し ます。

(15)

次の接続が必要です。

• 1 つの基準 ク ロ ッ ク を gth_qpll1_refclk_p_in および gth_qpll1_refclk_n_in ポー ト へ接続し ます。 • 1 つの基準 ク ロ ッ ク を gth_qpll0_refclk_p_in および gth_qpll0_refclk_n_in ポー ト へ接続し ます。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定 さ れた ク ロ ッ ク に接続 し ます。 SDI サンプル デザ イ ンでは 27 MHz です。 • gth_wiz_reset_tx_pll_and_datapath_in 入力ポー ト は、 QPLL0 および QPLL1 への基準 ク ロ ッ ク ソ ース が安定 し てい る場 合のみ ロ ジ ッ ク Low にな る必要があ り ます。 • gth_wiz_reset_rx_pll_and_datapath_in 入力ポー ト は、 QPLL1 への基準 ク ロ ッ ク ソ ース が安定 し てい る場合のみロ ジ ッ ク Low にな る必要があ り ます。 • SDI ラ ッ パー サポー ト の RXPLLCLKSEL_RX_M_0 パ ラ メ ーターは、 2'b10 (QPLL1) に設定 し ます。 • SDI ラ ッ パー サポー ト の RXPLLCLKSEL_RX_M_1 パ ラ メ ーターは、 2'b10 (QPLL1) に設定 し ます。 • SDI ラ ッ パー サポー ト の TXPLLCLKSEL_TX_M_0 パ ラ メ ーターは、 2'b10 (QPLL1) ま たは 2'b11 (QPLL0) に設定 し ます。 • SDI ラ ッ パー サポー ト の TXPLLCLKSEL_TX_M_1 パ ラ メ ーターは、 基準 ク ロ ッ ク の接続に応 じ て 2'b11 (QPLL0) ま たは 2'b10 (QPLL1) のいずれかに設定する必要があ り 、 TXPLLCLKSEL_TX_M_0 では使用 さ れません。 • 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL1 を リ セ ッ ト し なければな ら ない場合は、 SDI ラ ッ パー サポー ト の gth_qpll1_reset_in 入力を アサー ト し ます。 • 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL0 を リ セ ッ ト し なければな ら ない場合は、 SDI ラ ッ パー サポー ト の gth_qpll0_reset_in 入力を アサー ト し ます。

X-Ref Target - Figure 6

図 6: 使用モデル 5

([WHUQDO5HIFON

6RXUFH

,%8)'6B*7(

TSOOBUHIFON TSOOBORFN

6',:UDSSHU

TSOOBFON

43//

TSOOBUHVHW

([WHUQDO5HIFON

6RXUFH

,%8)'6B*7(

TSOOBUHIFON TSOOBORFN TSOOBFON

43//

TSOOBUHVHW

*7:L]DUG,3

6037(

8+'6',

&RUH

&RQWURO

0RGXOH

W[XVUFON U[XVUFON JWKBUHVHWBDOO JWKBUHVHWBW[BSOOBDQGBGDWDSDWK JWKBUHVHWBU[BSOOBDQGBGDWDSDWK JWKBGUSFON

6',:UDSSHU6XSSRUW

JWKBTSOOBUHVHWBLQ JWKBTSOOBUHVHWBLQ W[SOOFONVHOBLQ U[SOOFONVHOBLQ

*7+(B&20021

;

(16)

SDI 電気的イ ン タ ー フ ェ イ ス

GTH ト ラ ン シーバーか ら /GTH ト ラ ン シーバーへ送信 さ れ る シ リ アル信号を SDI の電気的規格へ変換する には、 外部に SDI ケーブル イ コ ラ イ ザー と ケーブル ド ラ イ バーが必要です。 外部 SDI ケーブル イ コ ラ イ ザーを使用し 、 シ ン グルエン ド の 75 Ω SDI 信号を GTH ト ラ ン シーバーの レ シーバー入力信号 要件に対応す る 50 Ω 差動信号へ変換する必要があ り ます。 複数の メ ーカーが、 それぞれに適切な SDI ケーブル イ コ ラ イ ザーを提供 し てい ます。 こ れ ら のケーブル イ コ ラ イ ザーの差動出力は、 同相電圧の差が生じ る ため、 通常、 AC カ ッ プ リ ン グ を用いて GTH レ シーバー入力信号 と 接続する必要があ り ます。図7 に、標準的な SDI ケーブル イ コ ラ イ ザー と GTH の イ ン タ ーフ ェ イ ス例を示 し ます。 注記:図7 に示す SDI ケーブル イ コ ラ イ ザー と BNC コ ネ ク タ間のネ ッ ト ワー ク に関し ては、 SDI ケーブル イ コ ラ イ ザー の メ ーカーが提供す る 資料を参照 し て く だ さ い。 重要: 外部 SDI ケーブル イ コ ラ イザー と GTH レシーバーのシ リ アル入力間の AC カ ッ プ リ ン グ キ ャパシ タの電気容量値 は、SDI パ ソ ロ ジ カル信号を減衰 さ せる こ と な く 渡すのに十分な大き さ が必要です。 少な く と も 1.0 µF 以上のキ ャ パシ タ が必要で、 推奨値は 4.7 µF です。 GTH レ シーバーの差動入力には、 ビル ト イ ンの差動終端があ り ます。 SDI アプ リ ケーシ ョ ンにおけ る GTH レ シーバー入 力の終端モー ド は、 『7 シ リ ーズ FPGA GTP ト ラ ン シーバー ユーザー ガ イ ド 』 (UG482) [参照 6] で説明する RX 終端使用 モー ド 3 が推奨 さ れてい ます。 SDI アプ リ ケーシ ョ ンの場合、 GTH の内部プ ロ グ ラ ム可能な終端電圧は 800 mV に設定 し ます。 GTH ト ラ ン ス ミ ッ ターの差動シ リ アル出力は、 SDI ケーブル ド ラ イ バーの入力へ接続し 、 通常は AC カ ッ プ リ ン グ を用 いて接続 し ます (図8 参照)。 注記:図8 に示す SDI ケーブル イ コ ラ イ ザー と BNC コ ネ ク タ間のネ ッ ト ワー ク に関し ては、 SDI ケーブル イ コ ラ イ ザー の メ ーカーが提供す る 資料を参照 し て く だ さ い。

X-Ref Target - Figure 7

図 7: SDI ケーブル イ コ ラ イザー と GTH レ シーバー入力のイ ン タ ー フ ェ イ ス 4.7½F typ 6',&DEOH(4 5;3 5;1 *7+ 1HWZRUN %1& &RQQHFWRU &RQVXOWWKH6',&DEOH(4PDQXIDFWXUHUಬVLQIRUPDWLRQIRUWKH QHWZRUNEHWZHHQWKH6',&DEOH(4DQGWKH%1&FRQQHFWRU 50½ 50½ 4.7½F typ 800mV ;

X-Ref Target - Figure 8

図 8: SDI ケーブル ド ラ イバー と GTH ト ラ ン ス ミ ッ タ ー出力のイ ン タ ー フ ェ イ ス *7+ 4.7½F typ 4.7½F typ 7;3 7;1 1HWZRUN 6',&DEOH 'ULYHU 6OHZ 5DWH )3*$/RJLF %1& &RQQHFWRU &RQVXOWWKH6',&DEOH(4PDQXIDFWXUHUಬVLQIRUPDWLRQIRUWKH QHWZRUNEHWZHHQWKH6',&DEOH(4DQGWKH%1&FRQQHFWRU ;

(17)

ケーブル ド ラ イ バーは、 電気的特性が SDI 仕様を満たすよ う に、 GTH ト ラ ン ス ミ ッ ターか ら の差動信号を シ ン グルエン ド 信号へ変換 し ます。 通常、SDI ケーブル ド ラ イ バーには、 スルー レー ト を設定する ための スルー レー ト 制御入力があ り ます。SD-SDI の スルー レー ト 要件は、 HD-SDI および 3G-SDI の スルー レー ト 要件 と は大き く 異な り ます。 SDI ケーブ ル ド ラ イ バーの スルー レー ト 制御入力は一般的に FPGA で制御 さ れます。 SDI サンプル デザ イ ンで提供する制御モ ジ ュ ールは、 その他の使用例で外部の SDI ケーブル ド ラ イ バーで使用する ための スルー レー ト 制御入力を生成 し ます。 重要: GTH ト ラ ン ス ミ ッ ター シ リ アル出力 と 外部 SDI ケーブル ド ラ イバーの入力間の AC カ ッ プ リ ング キ ャパシ タの電 気容量値は、SDI パ ソ ロ ジ カル信号を減衰 さ せる こ と な く 渡すのに十分な大き さ が必要です。 少な く と も 1.0 µF 以上の キ ャ パシ タ が必要で、 推奨値は 4.7 µF です。

SD-SDI の考察

SD-SDI の受信

270 Mb/s ビ ッ ト レー ト の SD-SDI は、 GTH レ シーバーでサポー ト さ れてい る最低 ラ イ ン レー ト よ り も 低 く な り ます。 270 Mb/s の SD-SDI を受信する ために、 GTH レ シーバーを非同期オーバーサンプ ラ ー と し て使用 し、 ビ ッ ト ト ラ ンザ ク シ ョ ンが行われ る 場所を問わずに 270 Mb/s の 11 倍 (2.97 ギガサンプル/秒) で SD-SDI ビ ッ ト ス ト リ ーム をサンプ リ ン グ し ま す。GTH レ シーバーの ク ロ ッ ク データ リ カバ リ (CDR) ユニ ッ ト が GTH ト ラ ン シーバーの rxcdrhold 入力ポー ト を ロ ジ ッ ク High にアサー ト し、 基準 ク ロ ッ ク に ロ ッ ク し ます。 こ れに よ り 、 CDR が低速な SD-SDI 信号に ロ ッ ク する こ と を防ぎ、 SD-SDI 信号のオーバーサンプ リ ン グ を よ り 一定 し て実行で き ます。 SD-SDI 信号を受信する際には、 LPM (低電力モー ド ) の自動適応機能 と DFE (判定帰還等化) を無効にする必要があ り ま す。 低速ビ ッ ト レー ト での長い ラ ン レ ン グ スは、 イ コ ラ イ ザーに問題が生 さ せます。 LPM の自動適応機能は、 GTHE3_CHANNEL プ リ ミ テ ィ ブの次のポー ト を ロ ジ ッ ク High にアサー ト し て無効化し ます。 • RXLPMGCOVRDEN • RXLPMHFOVRDEN • RXLPMLFKLOVRDEN • RXLPMOSOVRDEN • RXOSOVRDEN

DFE イ コ ラ イ ゼーシ ョ ンは、 GTHE3_CHANNEL プ リ ミ テ ィ ブの次のポー ト を ロ ジ ッ ク High にアサー ト し て無効化 し ま す。 • RXDFEAGCOVRDEN • RXDFELFOVRDEN • RXDFETAP2OVRDEN • RXDFETAP3OVRDEN • RXDFETAP4OVRDEN • RXDFETAP5OVRDEN • RXDFETAP6OVRDEN • RXDFETAP7OVRDEN • RXDFETAP8OVRDEN • RXDFETAP9OVRDEN • RXDFETAP10OVRDEN • RXDFETAP11OVRDEN • RXDFETAP12OVRDEN • RXDFETAP13OVRDEN • RXDFETAP14OVRDEN • RXDFETAP15OVRDEN • RXDFEUTOVRDEN

(18)

GTH Wizard IP の こ れ ら のポー ト は UltraScale FPGAs Transceivers Wizard に よ っ てデフ ォル ト で有効に設定 さ れないため、 手動で有効化す る 必要があ り ます。 こ れ ら のポー ト は、 ポー ト 名の末尾に with _in が付加 さ れた形で ウ ィ ザー ド の [Structural Options] タ ブに表示 さ れます。GTH ト ラ ン シーバー ラ ッ パーの rxcdrhold_in ポー ト を GTH Wizard IP の こ れ ら の ポー ト に接続 し ます。 レ シーバーが SD-SDI モー ド の と き、 rxcdrhold_in ポー ト は SDI 制御ロ ジ ッ ク に よ っ て ロ ジ ッ ク High に駆動 さ れ る ため、 こ の方法で接続 さ れてい る場合、 こ れ ら の 3 つのポー ト は ロ ジ ッ ク High に駆動 さ れます。 FPGA のプ ロ グ ラ マブル ロ ジ ッ ク に実装 さ れたデータ リ カバ リ ユニ ッ ト (DRU) は、 GTH RX でオーバーサンプル さ れた SD-SDI データ を解析 し、 各ビ ッ ト の最 も 確実な値を決定 し て回復データ を出力し ます。 こ の DRU は SDI コ アの一部では な く 、SDI サンプル デザ イ ンの SDI 制御モジ ュールの一部 と し て提供 さ れてい ます。

SDI サンプル デザ イ ンで提供 さ れ る DRU は、 『高速シ リ アル I/O 向けに動的にプ ロ グ ラ ム可能な DRU』 (XAPP875) [参 照7] で説明 し てい ます。 こ のアプ リ ケーシ ョ ン ノ ー ト は DRU の動作について言及 し てい ますが、 SDI リ フ ァ レ ン ス デザ

イ ンで DRU が使用する場合にその動作を理解 し てい る必要はあ り ません。

SMPTE SD-SDI 規格 259 [参照 1] では、 270 Mb/s 以外のビ ッ ト レー ト も 定め ら れてい ます。 DRU は、 270 Mb/s シ リ アル デー タ の 11 倍のオーバーサンプ リ ン グのみをサポー ト する ために、 SDI 制御モジ ュールに イ ン ス タ ン シエー ト さ れてい ます。 その他の SD-SDI ビ ッ ト レー ト をサポー ト する必要があ る アプ リ ケーシ ョ ンでは、 DRU はほかのビ ッ ト レー ト を 受信で き ます。DRU は分数のオーバーサンプ リ ン グ をサポー ト し てい る ため、 追加の RX 基準 ク ロ ッ ク 周波数を使用 し な く て も 270 Mb/s 以外の SD-SDI ビ ッ ト レー ト を受信で き ます。 SMPTE 規格 ST 344 [参照 1] で指定 さ れてい る 540 Mb/s SD-SDI ビ ッ ト レー ト は、 GTH ト ラ ン シーバーでサポー ト さ れる ラ イ ン レー ト 範囲内です。 こ のため、 GTH レ シーバー で 540 Mb/s を受信する目的で DRU を使用する必要はあ り ません。 ただ し、 DRU を使用せずに 540 Mb/s ビ ッ ト レー ト を 受信す る には、 その他の SDI ビ ッ ト レー ト で使用 さ れてい る も の と は異な る基準 ク ロ ッ ク 周波数が必要です。 こ のため、 DRU を使用し て 5.5 倍のオーバーサンプ リ ン グで 540 Mb/s ST344 を受信 し た方が、標準の SDI 基準 ク ロ ッ ク 周波数を使用 で き る ので、 よ り 簡単な方法 と いえ ます。SDI サンプル デザ イ ンは、 その他の SD-SDI ビ ッ ト レー ト をサポー ト し ませ ん。 DRU は リ カバ リ ク ロ ッ ク を提供 し ません。 ま た、 GTH レ シーバーの CDR ユニ ッ ト は、 その基準 ク ロ ッ ク に ロ ッ ク さ れ てい る ため、SD-SDI モー ド では rxusrclk は入力 さ れる ビ ッ ト レー ト に ロ ッ ク さ れません。 DRU は、 出力で 10 ビ ッ ト デー タ ワー ド が有効であ る こ と を示すデー タ ス ト ローブ信号を生成 し ます。 SDI コ アは、 こ のデータ ス ト ローブ信号を 使用 し て ク ロ ッ ク イ ネーブルを生成 し ます。 こ れは 27 MHz レー ト でアサー ト さ れ、 GTH ト ラ ン シーバーか ら の rxusrclk

ク ロ ッ ク に対 し て通常 5/6/5/6 の ク ロ ッ ク サ イ ク ル リ ズ ムでアサー ト さ れます。 SD-SDI 動作中の v_smpte_3gsdi_rxtx ラ ッ パーか ら の rx_ce_out 信号は、 DRU のデータ ス ト ローブ信号で生成 さ れ る ため、 同 じ リ ズ ム と な り ます。 DRU データ ス

ト ロ ーブ と rx_ce_sd 信号は、 通常の 5/6/5/6 リ ズ ムか ら 外れ る場合があ り ます。 こ れは、 実際の SD-SDI ビ ッ ト レー ト と 、 GTH レ シーバーが使用する PLL へ供給 さ れ る ローカル基準 ク ロ ッ ク の周波数の間に発生 し たずれを DRU が補正する ため に生 じ る も のです。

図9 に、 SD-SDI 動作中の 27 MHz の rx_ce_out ポー ト を示 し ます。 画面中央の rx_ce_out の立ち上が り エ ッ ジでオシ ロ ス コ ープが ト リ ガー さ れてい ます。 オシ ロ ス コ ープは無制限に継続す る モー ド であ り 、 数分間分の波形を取 る こ と がで き ま す。 波形は色分け し 、 信号の最 も 標準的な位置を示す赤色か ら 、 極めて まれな位置を示す青色で表示 さ れてい ます。 こ の 画像の作成に用い ら れた SD-SDI 入力信号は、 GTH レ シーバーで使用 さ れる ローカル基準 ク ロ ッ ク と 非同期です。 中心パ ルス の左側ま たは右側のいずれかにあ る rx_ce_out 信号は、 中心パルス か ら 常に 5 ま たは 6 ク ロ ッ ク サ イ ク ルの間隔があ

(19)

ト レース の左右両端にあ る 2 つのパルスは、 5/6/5/6 リ ズ ムに よ っ て中心パルス か ら 名目上 11 サ イ ク ル ク ロ ッ ク 離れてい ます。 こ の名目上の位置は、 黄色 と 赤色のパルス でマー ク さ れてい ます。 一番右のパルス には、 中心パルス の立ち上が り エ ッ ジか ら 11 サ イ ク ル ク ロ ッ ク の位置を縦方向の黄色い破線カー ソ ルで示し てい ます。 青色のパルス で両側を挟まれた 黄色 と 赤色のパルス は、 ロ ーカルの基準 ク ロ ッ ク と 入力 さ れ る SD-SDI 信号の周波数差を補 う ために、 DRU が rx_ce_out の周期を 10 ク ロ ッ ク サ イ ク ルま たは 12 ク ロ ッ ク サ イ ク ルのいずれかにする必要があ る こ と を表 し てい ます。

SDI サンプル デザ イ ンの SD-SDI DRU は、 生成済みフ ァ イ ルの nidru_20_wrapper.vhd 内に暗号化 さ れた状態で提供 さ れてい ます。DRU で使用 さ れる暗号化は、 ほ と んどの合成およびシ ミ ュ レーシ ョ ン ソ フ ト ウ ェ ア と 互換性があ り ま す。

SD-SDI の送信

SD-SDI の受信 と 同様に、 低速な 270 Mb/s SD-SDI ビ ッ ト レー ト の送信は、 GTH ト ラ ン ス ミ ッ ターで直接サポー ト さ れて い ません。SD-SDI 信号を送信する には、 GTH TX を 2.97 Gb/s ラ イ ン レー ト 用に コ ン フ ィ ギ ュ レーシ ョ ン し ます。 SDI コ アは送信 さ れ る 各ビ ッ ト を 11 回複製する ため、 SDI コ アか ら 出力 さ れ て GTH Wizard IP の gth_txn_out ポー ト へ入力 さ れ る デー タ には、 各ビ ッ ト が 11 回連続 し て複製 さ れた も のが含まれます。 最終的に GTH ト ラ ン ス ミ ッ ターか ら 出力 さ れ る 信号は、 有効な 270 Mb/s SD-SDI 信号 と な り ます。

X-Ref Target - Figure 9

図 9: SD-SDI のク ロ ッ ク イ ネーブル

図  1: 一般的な  SDI  イ ン タ ー フ ェ イ スのブ ロ ッ ク図Audio Embedder(Optional)SDI WrapperSMPTE SDI CoreControl Module403227;9LGHR7;673,'7;6',0RGH7;&amp;RQWURO5;6WDWXV5;6',0RGH25;673,'5;9LGHRGTH Wizard IPW[XVUFON7;&amp;ORFN20W[GDWD5HVHWVDQG&amp;RQWURO6WDWXV20U[GDWDU[XVU
図  3: SDI  用の  GTH  基準ク ロ ッ ク のイ ン プ リ メ ン テーシ ョ ン  ( 一般的な場合 )0+],%8)'6B*7(43//0+],%8)'6B*7(*7+(B&amp;20021 *7+(B&amp;+$11(/ 5; 7;7;6&lt;6&amp;/.6(/ 5;287B',97;287B',943//*7+(B&amp;200215;6&lt;6&amp;/.6(/*7+(B&amp;+$11(/5;7;7;6&lt;6&amp;/.6(/5;287B',97;287
図  4: PLL  使用モデル  1  お よび  2([WHUQDO5HIFON6RXUFH,%8)'6B*7( 6',:UDSSHU([WHUQDO5HIFON6RXUFH,%8)'6B*7(TSOOBUHIFONTSOOBORFNTSOOBFON43//TSOOBUHVHW *7:L]DUG,3 6037( 6',&amp;RUH&amp;RQWURO0RGXOHW[XVUFONU[XVUFONJWKBUHVHWBDOOJWKBUHVHWBW[BSOOBDQGBGDWDSDWKJWKBUHVHWBU
図  6: 使用モデル  5([WHUQDO5HIFON6RXUFH,%8)'6B*7( TSOOBUHIFON TSOOBORFN 6',:UDSSHUTSOOBFON43//TSOOBUHVHW([WHUQDO5HIFON6RXUFH,%8)'6B*7(TSOOBUHIFONTSOOBORFNTSOOBFON43//TSOOBUHVHW *7:L]DUG,3 6037( 8+'6',&amp;RUH&amp;RQWURO0RGXOHW[XVUFONU[XVUFONJWKBUHVHWBDOOJWKBUHV
+7

参照

関連したドキュメント

お客様は、各ASLロケーションにおいて、マスター・インストール・メデ ィア及びApproved Volume License

WMS 計量モジュールには RS232 インターフェイスおよび RS422 インターフェイスが装備されてい

TRACG は,オリジナルの原子炉過渡解析コード(TRAC)[1]の GE Hitachi Nuclear Energy

工場設備の計測装置(燃料ガス発熱量計)と表示装置(新たに設置した燃料ガス 発熱量計)における燃料ガス発熱量を比較した結果を図 4-2-1-5 に示す。図

6-4 LIFEの画面がInternet Exproler(IE)で開かれるが、Edgeで利用したい 6-5 Windows 7でLIFEを利用したい..

利用している暖房機器について今冬の使用開始月と使用終了月(見込) 、今冬の使用日 数(見込)

なお、関連して、電源電池の待機時間については、開発品に使用した電源 電池(4.4.3 に記載)で

用できます (Figure 2 および 60 参照 ) 。この回路は優れ た効率を示します (Figure 58 および 59 参照 ) 。そのよ うなアプリケーションの代表例として、 Vbulk