• 検索結果がありません。

共用施設等利用制度共用施設等利用制度制度概要 国立研究開発法人産業技術総合研究所 ( 産総研 ) では これまで蓄積して来たノウハウ 成果を広く社会に普及させることを目的に 幅広い分野の先端機器をノウハウ等とともに共用施設として社会に公開しております これらの共用施設をご利用頂くための制度が 共用施

N/A
N/A
Protected

Academic year: 2021

シェア "共用施設等利用制度共用施設等利用制度制度概要 国立研究開発法人産業技術総合研究所 ( 産総研 ) では これまで蓄積して来たノウハウ 成果を広く社会に普及させることを目的に 幅広い分野の先端機器をノウハウ等とともに共用施設として社会に公開しております これらの共用施設をご利用頂くための制度が 共用施"

Copied!
32
0
0

読み込み中.... (全文を見る)

全文

(1)

国立研究開発法人 産業技術総合研究所

共用施設等利用制度

先端ナノ計測施設

超電導アナログ・デジタルデバイス開発施設

MEMS 研究開発拠点

ナノプロセシング施設

スーパークリーンルーム

つくばエクスプレス 秋葉原より快速で45分 MEMS 研究開発拠点 先端ナノ計測施設 超電導アナログデジタルデバイス開発施設 ナノプロセシング施設

1. 先端ナノ計測施設

(AIST Nanocharacterization Facility:ANCF)

  E-mail:ancf-contact-ml@aist.go.jp

2. 超電導アナログ・デジタルデバイス開発施設

(Clean Room for Analog ‒ Digital superconductiVITY:CRAVITY)

  E-mail:cravity_contact-ml@aist.go.jp

3. MEMS 研究開発拠点

(MEMS Foundry:MEMS)

  E-mail:tia_mems_contact-ml@aist.go.jp

4. ナノプロセシング施設

(Nano-Processing Facility:NPF)

  E-mail:tia-npf-ml@aist.go.jp

5. スーパークリーンルーム

(Super Clean Room:SCR)

  E-mail:scr_contact-ml@aist.go.jp

TIA 推進センター 共用施設運営ユニット 共用施設ステーション

〒305-8568 茨城県つくば市梅園 1-1-1    TEL: 029-861-3210  E-mail: tia-kyoyo-ml@aist.go.jp

茨城空港 つくば 並木 一丁目 並木 二丁目 産総研 つくば 中央 気象 研究所 産総研 つくば西 並木大橋 荒川沖 上野 秋葉原 秋葉原 東京 羽田空港 120分 羽田~つくばセンター 高速バス 60分 茨城~つくばセンター 高速バス 45分 つくばエクスプレス 120分 成田~つくばセンター 高速バス 関東鉄道バス 65分 3分 神田 御徒町 環境研究所 洞峰公園 物質研究所 牛久 3分 3分 20分 15分 20分 20分 徒歩 5分 徒歩 1分 徒歩 3分 徒歩 3分 徒歩 5分 常磐高速バス 山手線 60分 常磐線 成田空港 ひたち野 うしく 産総研 つくば東 産総研 つくば東 スーパークリーンルーム アクセス つくば駅下車、産総研連絡便、 または、荒川沖駅(西口)行、 または、阿見中央公民館行き乗車、並木二丁目下車 URL: https://www.aist.go.jp/aist_j/guidemap/ tsukuba/center/tsukuba_map_c.html 共用施設ステーション 〒305-8568 つくば市梅園1-1-1 国立研究開発法人 産業技術総合研究所 つくば中央第二事業所 E-mail: tia-kyoyo-ml@aist.go.jp URL: https://ssl.open-innovation.jp/npf/ 問い合わせ先 各施設問い合わせ先

国立研究開発法人 産業技術総合研究所

354 408 6 至上野 至阿見 土浦駅 荒川沖駅 ひたち野うしく駅 万博記念 公園駅 研究学園駅 つくば駅 至東京 至水戸 至水戸 谷田部 IC つくば中央 IC つくばエクスプレス つくば西 つくば苅間サイト つくば東 つくば中央 つくば牛久 IC 桜土浦 IC つくばセンター (バスターミナル) 大角豆 稲荷前 土浦学園線 筑波大学 筑波大学 附属病院 土浦北 IC つくば JCT サイエンス大通り 東大通り 西大通り 常磐自動車道 JR 常磐線 産業技術総合研究所

354

408

つくば西

つくば中央

つくば東

桜土浦 IC 大角豆 稲荷前 常磐自動車道

産業技術総合研究所

平成28年度4月版第1刷

(2)

02

Open Research Platform

制度概要

 国立研究開発法人産業技術総合研究所(産総研)では、これまで蓄積して来たノウハウ・成果を広く社

会に普及させることを目的に、幅広い分野の先端機器をノウハウ等とともに共用施設として社会に公開

しております。これらの共用施設をご利用頂くための制度が「共用施設等利用制度」です。

特徴

 共用施設等利用制度には下記の特長があります。

約款に基づく利用申込手続きのため、契約手続きにお時間が掛かりません。

発生した知的財産権は原則として利用者に帰属します。

約款内で明瞭な秘密情報管理のルールを定めております。

単価表で時間(枚数)当たり価格が公開されているため、コストの見通しが立て易くなっております。

共用施設

 公開している共用施設は以下の施設です。詳細は各施設のページをご確認ください。

1. 先端ナノ計測施設(AIST Nanocharacterization Facility:ANCF) ‥‥‥‥‥‥‥‥‥‥ 4

2. 超電導アナログ・デジタルデバイス開発施設 ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 8

 (Clean Room for Analog – Digital superconductiVITY:CRAVITY)

3. MEMS研究開発拠点(MEMS Foundry:MEMS) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 14

4. ナノプロセシング施設(Nano-Processing Facility:NPF) ‥‥‥‥‥‥‥‥‥‥‥‥ 20

5. スーパークリーンルーム(Super Clean Room:SCR) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 26

支援形態

 共用施設等利用制度では以下のサービスを提供しております。

(施設により一部提供していないサービスもございますので、各施

設にお問い合わせください。)

1. 機器利用

 利用者がご自分で装置を利用する支援形態。装置をご利用

いただく前に技術指導が必要になる場合もございます。高度

な技術が必要とされる装置には、機器利用に対応していない

物もございます。

2. 技術指導

 利用者が共用施設スタッフの指導を受けながら装置利用を

行う支援形態。

3. 技術代行

 共用施設スタッフが利用者に代わり、作業を代行する支援

形態。高度な技術が必要とされ機器利用に適さない装置に関

しては技術代行のみで対応している場合もございます。

共用施設等利用制度

(3)

03

共用施設等利用制度のご利用方法

1. ご利用に関する注意事項

 共用施設等利用制度は「国立研究開発法人産業技術総合研究所共用施設等

の利用に関する規程」及び「共用施設等利用約款」に基づきご利用いただいて

おります。

約款をお読みいただき、ご同意いただいた上でお申込みいただきますよう

お願い申し上げます。

 規程及び約款は下記URLアドレスのウェブサイトからダウンロード可能

です。アドレスのQRコードを右図に示します。

産総研 共用施設の利用サービス

 

https://unit.aist.go.jp/tia-co/orp/index.html

2.共用施設ご利用の流れ

 共用施設ご利用の手順をご紹介致します。施設により若干異なる点もございますので、詳細につい

ては各施設にお問い合わせください。

 利用申込書をご提出いただく前に、技術的・スケジュール的に利用可能かをご利用になりたい施設の

管理責任者とご相談ください。各施設の連絡先は最終ページに記載してあります。

 技術的・スケジュール的に利用可能な場合は、管理責任者より内諾を通知致します。

注:この内諾は、技術的・スケジュール的に利用可能であることを通知しているのみで、利用に関する契

約はまだ結ばれておりません。

 内諾内容に基づき「共用施設等利用申込書」にご記入、押印の上、TIA推進センター共用施設ステーショ

ンまでご提出ください。利用申込書は通常内諾通知時に利用希望者にメールでお送りしております。

 お急ぎの場合は、利用申込書をPDFファイル化し、共用施設ステーション(tia-kyoyo-ml@aist.go.jp)まで

メールでご送信ください。

 約款第3条第2項の各号に基づきお申込みいただいた内容を審査させていただきます。原則10営業

日以内にご回答致します。

 審査終了後、回答書を発行・送付いたします。回答書の発行後、施設のご利用が可能になりますので、

それまで少々お待ちください。

 回答書を受け取られましたら共用施設をご利用ください。

 利用実績に基づき、事前に決めたタイミングで利用料金が請求されます。

 ナノテクノロジープラットフォーム事業の支援を受けて先端ナノ計測施設もしくはナノプロセシン

グ施設を利用される場合は、利用後A4用紙一枚の利用報告書を提出していただいております。

STEP3

 利用申込書の提出

STEP2

 内諾通知

STEP4

 申込み内容の審査

STEP5

 ご回答

STEP8

 利用報告書提出

(注)

STEP7

 利用料金請求

STEP6

 利用開始

STEP1

 事前相談

(4)

04

ANCF

 産総研では、国内の産業力強化と新産業創出の先導や社会イノベーションへの貢献を目指して、先端計

測分析技術の開発を実施しています。開発した先端計測装置や技術は、先端ナノ計測施設(ANCF)にて公開

しています。

超伝導蛍光X線検出器付走査型電子顕微鏡(SC-SEM)

 ANCFは、文部科学省ナノテクノロジープラットフォーム事業の微細構造解析プラットフォームに参画

し、幅広い計測要望に対応しています。 プラットフォーム参画の他機関のTEMなどの原子スケールのイ

メージング(“木を見るナノ計測分析”)と比較して、ANCFでは原子欠陥や特定の元素の回りの原子配位と

いった、平均として得られるナノ情報を提供します(“森を見るナノ計測分析”)。

 ANCFの先端計測機器・技術は、産総研 イノベーション創出機器共用プラットフォーム(IBEC)の制度の

下で、企業や大学などの研究開発者や技術者に対して公開し、ご利用いただいています。ナノテクノロジー

プラットフォーム事業をご利用の場合は成果の公開が原則です。また、成果の非公開でのご利用、さらに

進んだ分析計測などは、産総研自主事業である共同研究や受託研究での対応が可能です。

 ご要望に応じて、様々な形態と制度での利用が可能ですので、是非ANCF事務局にご相談下さい。

公開装置と測定対象例

陽電子プローブマイクロアナライザー (PPMA)

  ガスバリア膜や分離膜の分子間空隙、半導体中の格子欠陥

超伝導蛍光収量X線吸収微細構造分析装置(SC-XAFS)

  パワーエレクトロニクス材料中の微量ドーパント

可視-近赤外過渡吸収分光計測装置 (VITA)

  有機太陽電池や有機機能性分子

リアル表面プローブ顕微鏡(RSPM)

  摩擦摩耗低減のためのオイル中金属材料表面、文化財の繊維断面

固体NMR装置(SSNMR)

  固体触媒材料、ゴム材料、環境負荷低減アモルファス材料

超伝導蛍光X線検出器付走査型電子顕微鏡(SC-SEM)

  エレクトロニクス、構造材料等の軽元素分析

極端紫外光光電子分光装置(EUPS)

  半導体材料、白金ナノ粒子触媒などの最表面原子層の電子物性

先端ナノ計測施設(ANCF)の概要

(5)

05

 公開機器や機器利用申請の詳細は、ANCFホームページをご覧ください。ご要望等はお気軽にANCF事務

局までご相談ください。機器群を見学いただくことも可能です。

 ANCF公開機器のご利用を検討されている方は、下記のイノベーション創出機器共用プラットフォーム

のホームページにアクセスいただき、 会員登録とユーザー登録の後、 事前相談申請をお願い致します。

 産総研のナノ計測関連機器を御活用いただけますようよろしくお願い致します。

お問い合わせ先

産業技術総合研究所 先端ナノ計測施設(ANCF事務局)

ancf-contact-ml@aist.go.jp

https://unit.aist.go.jp/rima/nanotech/index.html

お申込み先

産業技術総合研究所 イノベーション創出機器共用プラットフォーム(IBEC)

tia-kyoyo-ml@aist.go.jp

http://open-innovation.jp/ibec/

研究支援の形態

お問い合わせ先など

産総研 イノベーション創出機器共用プラットホーム (IBEC)

・産総研が代行して設備を操作

・産総研が補助指導し、利用者が機器を操作

・利用者が機器を操作

産総研の自主事業

問い合わせ・相談

1)技術代行

成果公開

成果非公開

所内利用

3)機器利用

2)技術補助

4)共同研究

ナノテクプラットホーム事業

微細構造解析プラットホーム

先端ナノ計測 (ANCF)

契約・約款に基づく支援(有料)

(6)

06

ANCF

1

3

2

4

先端ナノ計測施設装置群

AIST Nanocharacterization Facility

陽電子プローブマイクロアナライザー PPMA

【ANCF001】

可視-近赤外過渡吸収分光計測装置 VITA

【ANCF003 ~ ANCF006】

超伝導蛍光収量 X 線吸収微細構造分析装置 SC-XAFS

【ANCF002】

リアル表面プローブ顕微鏡 RSPM

【ANCF007 ~ ANCF008】 ▼仕様・特徴 ●評価対象:金属・半導体・  高分子材料中の原子 サイズ欠陥 ・ 空隙の評価 ●ビームエネルギー:0.5 keV ~ 30 keV可変 (分析深さ: 表面~ 数μm) ●ビーム径: 100μm ~ 10mm ▼測定例 ●鉄鋼材料の欠陥評価 ●ゼオライト、高分子  ポリマー中の空隙評価 ●シリコンウエハの欠陥評価 ▼仕様・特徴 ●ナノ秒可視—近赤外蛍光寿命測定 ●ナノ秒可視—近赤外過渡吸収測定 ●ピコ秒可視蛍光寿命測定 ●ピコ秒可視−近赤外過渡吸収測定 ▼測定例 ●有機系(色素増感, 有機薄 膜)太陽電池のキャリア特 性評価 ●光触媒半導体材料のキャ リア特性評価 ●有機電界発光材料の励起 状態解析 ▼仕様・特徴 ●蛍光X線エネルギー分解 能:10 eV @ O-Kα ●エネルギー範囲:70 eV – 5000 eV (1 keV以下は超 伝導、以上は半導体) ●光子計数率:0.5 Mcps ●液体ヘリウムを使用せず 自動冷却(0.3 K) ▼測定例 ●窒素注入SiC材料の窒素 のまわりの局所構造解析 ●GaN中Mgド ー パ ン ト の 局所構造解析 ▼仕様・特徴 ●表面プローブ顕微鏡1(FMモードが利用可能、AFM探針 形状評価によるイメージ補正が可能) ●表面プローブ顕微鏡2(各種環境制御、カンチレバーのレー ザー励起、リアルタイム計測をサポート)と前処理装置(表面 のクリーニング、断面作成等) ▼測定例 ●電池材料の表面電流分布 測定 ●有機材料・薄膜の弾性率測 定  陽電子ビームを物質 中に入射し、陽電子寿命 を計測することで、試料 (特に薄膜や表面近傍)の 原子~ナノレベルの欠 陥・空隙を測定します。  液体、固体、薄膜等につい て、過渡吸収と蛍光減衰挙動 から、光照射によって生じた 電荷キャリアや短寿命励起 状態の特性を測定します。 可視から近赤外域で、サブ ピコ秒からナノ秒までの過 渡吸収と蛍光寿命測定が4台 の装置により可能です。  高感度、高分解能の超 伝導検出器を搭載した蛍 光X線 収 量X線 吸 収 微 細 構造分析装置。高エネル ギー加速器研究機構放射 光 科 学 研 究 施 設 に お い て、放射光を利用して、主 に軽元素の局所構造、電 子状態を測定します。  形状、機械物性、電位等の 測定について、溶液中、ガス 置換雰囲気、湿度制御環境、 高真空、超高真空雰囲気で の計測が可能です。大面積 ウエハー等を大型試料をク ローズトループ走査系を利 用して精密測定可能です。 水素脆化評価のための高強度鋼試験片 欠陥分布測定結果 SiCに含まれる窒素ドーパント(300ppm)の 吸収スペクトル分析例 有機薄膜太陽電池材料の 過渡吸収の減衰挙動 デバイスの電位・キャリアなどの 測定例

(7)

07

5

7

6

固体 NMR 装置 SSNMR

【ANCF009 ~ ANCF011】

極端紫外光光電子分光装置 EUPS

【ANCF014】

超伝導蛍光 X 線検出器付走査型電子顕微鏡 SC-SEM

【ANCF015】 ▼仕様・特徴 ●固体NMR装置(600MHz):ワイドボア、固体高分解能測定、二 次元スペクトル測定、多核種対応、拡散係数測定、半固体高分 解能測定 ●固体NMR装置(200MHz):ワイドボア、固体高分解能測定、 二 次元スペクトル測定、多核種対応、静止試料測定 ●固体NMR装置(20MHz):卓上型、プロトン専用、緩和時間測 定、拡散係数測定 ▼測定例 ●油脂の結晶度測定 ●プローブ分子を用いた 固体表面特性の評価 ▼仕様・特徴 ●試料最表面原子層(0.5 nm程度)の電子状態を分析 ●絶縁薄膜、有機薄膜を帯電させること無く測定 ●半導体のバンド曲がり ●二次電子スペクトルのカット オフにより真空準位を評価。 ▼測定例 ●プラズマディスプレイの 電極保護絶縁膜の極微量 汚染評価 ●触媒、金属ナノ粒子表面 の汚染度、低効率評価 ●触媒表面の活性金属の価 電子帯スペクトル評価 ●材料表面のπ、σ電子の 識別など電子雲の傾斜角 評価 ▼仕様・特徴 ●蛍光X線エネルギー範囲: 100 eV- 2 keV ●エネルギー分解能: ~ 10 eV@ 600 eV X-ray ●計数率: ~ 1Mcps ●走査型電子顕微鏡: FEI XL30 ●加速電圧範囲:200 eV- 30 keV ●電子ビームサイズ(最適値): 3.5 nm at 30 keV, 25 nm at 1 keV ●最大サンプルサイズ:2 インチ ●省エネ半導体、酸化物、構造材などに含まれる軽元素の定性 分析、簡易的な定量分析、マッピング分析が可能。 ●機械式ヘリウム3冷凍機を用いて簡単に冷却でき、長時間の 測定可能。  固体試料を対象とし、 局所構造およびダイナ ミクスを原子・分子レ ベルで測定します。  レーザー生成プラズ マ(255.17 eV、パルス幅 3 nsec)を光源とし飛行 時間法で電子分光する、 世界で唯一の光電子分 光装置です。  高感度、高分解能の超伝 導検出器を搭載した蛍光X 線検出器付走査型電子顕微 鏡。 電子線で試料上を走査する 際に放出される蛍光X線を 測定する事により、主に軽 元素の分布状態を評価しま す。 固体高分解能31P NMRスペクトル 二次電子スペクトル ANCF001 陽電子プローブマイクロアナライザー (PPMA) ANCF002 超伝導蛍光収量X線吸収微細構造分析装置 (SC-XAFS) ANCF003 ナノ秒可視・近赤外蛍光寿命計測装置 (VITA) ANCF004 ナノ秒可視・近赤外過渡吸収分光装置 (VITA) ANCF005 ピコ秒可視蛍光寿命計測装置 (VITA) ANCF006 ピコ秒可視・近赤外過渡吸収分光装置 (VITA) ANCF007 リアル表面プローブ顕微鏡群1 (RSPM) (JSPM5400 他、改造) ANCF008 リアル表面プローブ顕微鏡群2 (RSPM) (SII、RIBM 他、改造、付帯装置) ANCF009 固体 NMR 装置(600MHz) (SSNMR) ANCF010 固体 NMR 装置(200MHz) (SSNMR) ANCF011 固体 NMR 装置(20MHz) (SSNMR) ANCF014 極端紫外光光電子分光装置 (EUPS) ANCF015 超伝導蛍光 X 線検出器付走査型電子顕微鏡(SC-SEM)

装置一覧

(8)

08

CRA

VITY

 先端的な超電導アナログ計測デバイスとデジタルデバイス開発のためのプロセス機器と微細加工ノウ

ハウを集約しています。実用化段階の超電導デバイス製作に求められる、大規模化、プロセスの再現性、素

子の高い歩留まりを実現しています。産学官の研究者やエンジニアが、施設内の微細加工プロセス装置を

利用することで、超電導エレクトロニクスビジネス創出にチャレンジすることを可能にします。

 CRAVITYは、大学、研究開発法人、企業への公開と、超電導アナログ-デジタルデバイスの安定供給をモッ

トーとしています。デバイス作製に専従のテクニカルスタッフが常駐し、各装置の運転、整備を継続的に行

うことで、超電導デバイス開発を支援します。施設内のデバイス作製用装置はほぼすべて自動化されてお

り、従来10日間以上掛かっていたプロセスが、本施設では3日で完了します。最新の超電導プロセス技術で

は、9層の多層配線超電導集積回路の作製が可能となっています。検出器・標準といったアナログ応用と、

単一磁束量子(SFQ)を使ったデジタル応用に対応します。

 超電導デバイス作製以外の、様々な微細加工プロセスの利用も可能ですので、是非CRAVITY事務局にご相

談下さい。機器群を見学いただくことも可能です。

低温超電導アナログ-デジタルデバイス開発を主目的とするクリーンルーム

超電導検出器、標準、SFQデジタル集積回路の微細加工プロセス

世界的ハブ拠点、プロセス装置を超電導以外にも公開、商用利用可能

超電導アナログ・デジタル開発施設(CRAVITY)

概 要

特 徴

i線ステッパー: i12

代表的な装置写真

Nb/Alジョセフソン接合作製装置

[In-situ分析器&オゾン酸化器付]

(9)

09

 公開機器や機器利用申請の詳細は、TIAまたはCRAVITYホームページをご覧ください。ご要望等はお気軽

にCRAVITY事務局までご相談ください。ご利用を検討されている方は、下記のイノベーション創出機器共

用プラットフォームのホームページにアクセスいただき、 会員登録とユーザー登録の後、 事前相談申請を

お願い致します。

お問い合わせ先

産業技術総合研究所 超電導アナログ・デジタル開発施設(CRAVITY事務局)

cravity-info-ml@aist.go.jp

https://unit.aist.go.jp/riif/openi/cravity/ja/index.html

お申込み先

産業技術総合研究所 イノベーション創出機器共用プラットフォーム(IBEC)

tia-kyoyo-ml@aist.go.jp

http://open-innovation.jp/ibec/

クリーンルーム仕様及び主要な装置写真

お問い合わせ先など

-14

2

& ● ルーム1(クラス100): リソグラフィー室(90㎡) ・ウェハー洗浄装置 ・i線ステッパー ・セミ/オートコーターデベロッパー ・有機洗浄装置 ・計測装置(顕微鏡等) ● ルーム3(クラス1000)  : 成膜室(100㎡ ) ・ TEOS-CVD装置(SiO2他) ・ CMPプロセス装置群 ● ルーム2(クラス10000): 成膜室(70㎡ )  ・ジョセフソン接合作製装置(Nb/Al,NbN/TiN)    ・ドライエッチング装置(RIE、アッシャー)      ・計測装置(プロバー、段差計、応力評価         装置等) ●ユーティリティースペース(30㎡ ) ・ガス関係設備 ・真空排気ユニット ・純水製造装置 ・廃液タンク TEOS-CVD装置 ナノサーチ顕微鏡 ウェハー洗浄装置 有機洗浄装置×2 応力評価装置 ICP-RIE装置 (ロードロック) CCP-RIE装置 (ロードロック)

(10)

10

CRA

VITY

1

3

2

4

CRAVITY 装置群

AIST CRAVITY Facility

i線ステッパー

【CRAVITY001】

有機洗浄装置 A、B

【CRAVITY005 ~ CRAVITY006】

ウェハー洗浄装置

【CRAVITY004】

Nb-Al ジョセフソン接合作製装置

[In-situ 分析器 & オゾン酸化器付 ]【CRAVITY007】  レチクル上に描画さ れたパターンを超高圧 水銀灯で照明し、縮小投 影レンズを通してウェ ハ上に結像させ、焼き付 けます。また、ウェハ ステージをステップ・ アンド・リピートさせ な が ら 露 光 す る の で、 ウェハ全面への露光が可能になります。 ▼仕様・特徴 ●メーカー:株式会社会社ニコンテック ●型式:NSR-2205i12D ●露光光源:i線(波長365nm) ●解像度:350nm L&S ●開口数N.A:最大0.63、0.63 ~ 0.5で可変 ●縮小倍率:1/5倍 ●1ショットの露光範囲:22mm角 ,17.96(横)×25.2(縦)mm、  但し、φ31.11mm以内 ●露光マスク:6インチレチクル ●アライメント精度:55nm以下  ●露光可能なウェハサイズ:3インチ、4インチ、6インチ ●標準的な露光時間:10分程度/ウェハ  但し、上記はアライメントがオートでなされ、エラーが発生 しない場合。  本装置は、洗浄処理部 のウェハステージに人 手でウェハをセットす ることで、薬液(レジス ト剥離液)にて自動的に ウェハの表面洗浄処理 を行う枚葉式のスピン 洗浄装置です。主な用 途として反応性イオン エッチング(RIE)後のレジスト剥離、洗浄、メタル膜、絶縁膜のリ フトオフに用います。下記の各機能をプログラム(レシピ)で設 定して、設定レシピに応じた自動洗浄を行うことができます。 ▼仕様・特徴 ●メーカー:株式会社高田工業所 ●型式:TWPS-03M,TWP-031S ●ウェハ保持:ウェハ裏面のバキュームチャック ●レジスト剥離液:NMP、ノズル吐出又は高圧ジェット吐出 ●薬液温度:通常80℃に設定 ●乾燥:スピン乾燥(3000rpm)+N2ブロー ●バックブロー:N2ブローによりウェハ裏面への洗浄液の回り 込み防止機能有り。 ●洗浄可能なウェハサイズ:3インチのみ、変換ホルダの使用厳 禁。 ●洗浄時間:レシピ設定に依存、標準的には15分~ 30分程度/ ウェハ  希フッ酸によるSiの 自然酸化膜のエッチン グとオゾン水によるSi 酸化プロセスの繰り返 し に よ り、Siウ ェ ハ ー 表面・裏面を同時に洗 浄する、スピンタイプ のウェハー洗浄装置で す。回転保持機構部に フィンタイプチャックを採用、通常のウエハーのほか、反りの ある薄物ウエハーも安定した回転力を保持。更にハンギング 方式でのハンドリングにより高い安定性を実現しています。 洗浄中のウエハー裏面への処理液の回り込みが極めて少なく、 裏面へのリンス処理も可能です。 ▼仕様・特徴 ●メーカー:三益半導体株式会社 ●型式:MSC-2000 ●対応可能ウェハーサイズ:3,4inch(ロボットアーム、ウェハー キャリアー設置部の段取り替えにより対応の為、排他的使用 となる)。 ●洗浄能力:洗浄後の4inchのSiウェハー上の0.1μmの粒子の 数が<10個 ●使用溶液:DHF(0.5-20wt%)、オゾン水(20ppm)。 ●超純水ノズル種類:2流体ノズル、メガソニック。 ●乾燥:窒素ブロー。  直流高電圧によりArプラ ズマを発生させて成膜材料 へ衝突させることにより、 基板へ均質緻密な膜をス パッタします。ロードロッ ク室付きなので基板交換を 効率よく迅速に行えます。 2種類の材料を逐次多層成 膜することができます(全 自動)。真空システムと基板搬送を含め全自動動作が可能です。 ▼仕様・特徴 ●メーカー:サイエンスプラス ●型式:M93-0012 ●装置形態:スパッタチャンバー x2 + 酸化室+ロードロック室 ●スパッタ源:6inchDCマグネトロン x2式(各スパッタ室に1つ) ●スパッタ電源:DC電源 最大出力1.5kW(各スパッタ源と排他的に接続) ●電力コントロール:アーキング防止機能付き ●最大基板サイズ:Φ4inchウェハ(水冷機構付) ●逆スパッタ可能。 ●ターゲット-基板間距離:50 ~ 200mm ●到達真空度:5 x 10-6Pa以下 ●使用ガス:Ar, O2,O3 ●レシピによる全自動成膜可能。(外部PC制御可能) ●成膜可能材料:Nb,Al ●各チャンバーに分圧真空計付き。 ●O3を使った表面酸化が可能。

(11)

11

5

7

6

8

NbN ジョセフソン素子作製装置

【CRAVITY009】

反応性イオンエッチング装置

【CRAVITY013 ~ CRAVITY017】

TEOS-CVD 装置

【CRAVITY012】

ナノサーチ顕微鏡

【CRAVITY024】  交流高電圧によりN2 (またはAr)プラズマを 発生させて成膜材料へ 衝突させることにより、 基板へNbN(またはNb) の超伝導薄膜をスパッ タします。TiN(または Ti)の常伝導膜との組み 合 わ せ でSNS型 の ジ ョ セフソン接合を作製できます。PCにより自動で逐次多層成膜 することができます。真空システムと基板搬送は手動操作です。 ▼仕様・特徴 ●メーカー:キヤノンアネルバ ●型式:EVP-30751 ●装置形態:スパッタチャンバー x1 + ロードロック室 ●スパッタ源:6inchRFマグネトロン x 3式 ●スパッタ電源:RF電源 最大出力600W ●基板サイズ:Φ3inchウェハ、Φ2inchウェハ ●基板加熱、冷却機構:なし ●イオンビームで基板クリーニング可能。 ●ターゲット-基板間距離:40 ~ 80mm (ユーザー変更不可) ●到達真空度:1.4 x 10-4Pa以下 ●使用ガス:Ar, N2 ●PCによる自動成膜(Webによる遠隔モニタ機能有)

●成膜可能材料:NbN, Nb, Ti, TiN, Al (Alは暫定のため予告なく 変更の可能性あり)  プラズマリアクティ ブイオンエッチング法 により高密度エッチン グ が 可 能 で す。 プ ラ ズ マ の 発 生 方 法 に よ り、低パワーでも安定・ 均一なプラズマが得ら れ、ダメージの少ない エ ッ チ ン グ が 可 能 な ICP(Inductively Coupled Plasma)型、平行平板型などが有り、ロー ドロック付き装置では、ウェハは自動搬送。枚葉式処理。タッ チパネル制御。エッチングレシピ登録可能。エッチングログ保 存機能。またエッチングストップ検出用にプラズマ発光モニ ター付の機種もある。各装置毎にエッチング材料を固定するこ とにより、エッチング特性を安定化させている。 ▼仕様・特徴 ●メーカー:株式会社アルバック、サムコ株式会社 ●型式:CE-300I,-300R, RIE-200L x 2, -10NR ●RF電源:最大出力300W(ICP部:1000W) ●最大基板サイズ:Φ3 ~ 8inchウェハ(異形基板可) ●基板保持機構:静電チャックおよびHeガス冷却機構、直置き水冷 ●到達真空度: 10-4 Pa台 ●使用ガス:SF6, O2, CHF3, CF4,C4F8 ●エッチング終点検出可能。

●主にエッチングしている材料:Nb, Mo, Ta, W, TiN, NbN, Si, SiO2

 液体ソースTEOS を原料 としてSiO2 膜を基板上に 形成するプラズマCVD 装 置。低温(80度)での成膜 も可能。内部応力を膜組 成を換えることで、制御 可能。屈折率を各種元素 をドープする事により変 更可能。高アスペクト比 (50)構造への成膜も可能。将来的にはSiNx膜の成膜も可能である。 ▼仕様・特徴 ●メーカー:サムコ株式会社 ●型式:PD-270STL-AI ●成膜可能な基板サイズ:8インチ ●ウェハー間膜厚均一性:±3%以下 ●基板加熱温度:60 ~ 200度 ●RF周波数:13.56MHz ●RF出力:1kW ●ガス導入系:マスフローコントローラー 4系統 ●ガス供給方式:恒温槽による気化ガス直接流量制御方式 ●成膜可能材料:SiO2,SiNx(予定) ●成膜可能膜厚:10μm以上 ●成膜速度:最大100nm/min以上 ●膜応力:-300-200 MPa ●手動/自動運転モード切替可能 ●登録可能レシピ数:100  光学顕微鏡、走査型レー ザー顕微鏡(LSM)、走査型 プ ロ ー ブ 顕 微 鏡(SPM)を 一台に集約。多様な試料 に対応の観察・測定装置。 数十倍から百万倍の超ワ イド領域で、ミリからナ ノの観察・測定を本装置 のみで実現。各種顕微鏡 の切り替えは電動で実施 のため、観察対象物を見失 うことなく、素早く正確にプローブ顕微鏡で観察が可能。また、微分 干渉スライダ、偏光板も搭載され、明視野、微分干渉、レーザーコン フォーカル、レーザーコンフォーカル微分干渉での測定が可能。 ▼仕様・特徴 ●メメーカー:株式会社島津製作所 ●型式:ST-4500 1.レーザー顕微鏡(LSM)部性能 ●レーザー波長:405nmの半導体レーザー ●カラー観察用光源:白色LED ●カラー観察用CCD:200万画素 ●対物レンズ種類:5×、20×、50×、100× ●XYステージ:100×100mm以上の電動ステージ。ステー ジ台回転機能付き(±40°以上の回転可能) ●最大サンプル高さ:70 mm ●高さ分解能:1 nm 2.走査型プローブ顕微鏡(SPM)性能 ●スキャン範囲:最大30μm×30μm×4μm(X-Y-Z) ●選択可能動作モード:コンタクトモード,ダイナミック モード,位相モード,電流モード,表面電位(KFM)モード ●画像スティッチング枚数:最大625枚

(12)

12 ● 独自の平坦化技術により超電導(ニオブ)多層デバイス実現 ● 徹底した工程管理により、数万JJ規模のデジタル回路が完全動作する信頼性 ● デジタル回路だけでなく様々な超電導デバイスに展開、国内外研究機関に供給

これまでの活動内容

 超電導デジタル回路(単一磁束量子(SFQ)回路)のために開発した高品質多層Nbデバイス作製技術をベースに、様々な超電 導回路の作製を行っています。SFQ回路のさらなる低消費電力化、大規模化を目指した研究だけでなく、超電導検出器読み出 し用のSQUIDアレイなどのアナログ回路にも応用範囲を広げています。多層積層技術を応用した3次元回路など、ユーザー のアイデアを実現するために、デジタル回路で培ったプロセス技術を高めます。“実用的な実際に動作する回路”をより短い 期間で作製することに注力しています。 これまでに作製した超電導デジタルデバイス写真 ● 低温超電導体の超高感度、高速応答を生かした様々な検出器デバイスを開発 ● X線やイオン用の大規模アレイ検出器、世界最速の光子数識別検出器を実現 ● 先端計測分析機器へ搭載し、既存機器の限界を越える計測性能を実現

研究背景

 超伝導現象には、量子限界に迫る低ノイズ(高エネルギー分解能)に加えて、高速応答という2つの側面があります。これ らの2つの特徴を活かした超電導検出器は、先端計測分析機器や量子情報通信といった分野において、赤外線からγ線と いった幅広いエネルギーの光子やイオンといった粒子に対して、既存技術の限界を上回る検出性能を示しています。1K以下 の極低温環境を、液体ヘリウムを使用することなく得られるようになり、超電導を使った極限検出性能を身近で活用可能に なってきました。

これまでの活動内容

1.軟X線用Nb/Al 超電導トンネル接合(STJ)アレイ検出器

  有感面積1-4mm2と高分解能10eV(@O-Ka:525eV)を達成しま した(図4)。 さらに、500kcpsの高光子計数率を活かして、放射光 (KEK-PF)ビームラインにて、従来不可能だった微量軽元素の蛍光 収量X線吸収微細構造(XAFS)測定を実現しました。超電導XAFS 装置(SC-XAFS) による分析例(SiC中の微量Nドーパント)を示し ます(図5)。 図4.軟X線用Nb/Al-STJアレイ検出器(< 2 keV対応) 図1.Nb 9層SFQデバイスの断面構造 図2.浮動小数点乗算器(横国大設計)クロック周波数= 62 GHz

図3.4×4 Reconfigurable Data Path(名大設計)4 行4列のALU(演算回路)を再構成可能な配線で結ん だ回路

 超電導デジタル回路と関連デバイス

 超電導検出器

CRA

VITY

(13)

13 ● 半導体等、他の電子デバイスでは実現できない高精度性と低雑音性を実現 ● 次世代国家標準用のキーデバイス開発 ● 国家標準並みの標準電圧精度を公共施設や産業現場に導入可能

研究背景

 科学技術から産業技術に関わるあらゆる分野で、計測と、その信頼性を保証する計量標準の重要性が認識されています。 私達は、超電導体を用いることにより、半導体や磁性体等の素材では実現不可能な高精度と低雑音を実現しています。超電 導デバイスに加えて周辺システムを開発し、液体ヘリウムの供給を必要としない小型の計測機器として仕上げています。電 圧標準等の産業発展に不可欠な計量標準の整備に貢献します。

これまでの活動内容

 窒化ニオブ(NbN)を超電導電極材料とする基準電圧発生チップを 開発し、小型冷凍機で実現可能な絶対温度12 K以上での動作を可能に しました。冷凍機と周辺回路を19インチ計測器ラックに収納するこ とにより、既存のツェナーダイオードより高精度で経時変化が少な い二次直流電圧標準器を実現します。(図8)さらに、世界数箇所の機 関でのみ作製可能な直流10 V チップの安定供給を目指しています。 直流電圧以外に、量子交流電圧標準や熱雑音精密温度計へ応用可能 な任意波形発生器(図9)を研究しています。また、 102-103個規模の高 性能超電導検出器の信号を、S/N比を低下させず2本の同軸線で読 出せるマイクロ波帯の周波数多重回路を研究しています。(図10)

2.分析用超電導ストリップイオン検出器(SSID)

 超電導ナノストリップ構造を使うと、1 nsを上回る応答時間で、 イオンを100%の効率で検出できます。有感面積1cm2を実現し(図 6)、マトリックス支援レーザー脱離イオン化(MALDI)やエレクトロ スプレーイオン化(ESI)質量分析装置に搭載し、二原子分子の価数弁 別から、免疫グロブリンといった巨大生体分子の高感度分析に対応 します。

3.量子暗号通信用超電導転移端(TES)検出器

 光閉じ込め構造を持つTES検出器にて、通信波長帯(1550nm)の光 子に対して、システム検出効率(98.4%)を実現しました(図7)。量子 暗号通信システムのための光子数識別検出器として使用されてい ます。 図7.反射防止膜と反射膜でTESをサンドイッチした量子暗号通信用の光子数識別超電導検出器 図5.超電導蛍光収量X線吸収微細構造分析装置(SC-XAFS)とSiC中の 微量N ドーパントのXAFSスペクトル 図6.質量分析用Nb SSID検出器とそれを搭載した質量分析装置 図9. (a) 一次直流電圧標準チップ (b) 任意波形発生器(量子交流電圧標準) 図8. 産業現場に導入可能なラックマウント型二次直流電圧標準 図10. 多重読出回路 (a) 構成 (b) チップ (c) マイクロ波透過特性

 ジョセフソン電圧標準及び検出器の多重読出回路

 超電導検出器

(14)

14

 産総研つくば東事業所内に、200/300mm(8/12インチ)ウェハ

によるMEMSプロセスラインおよび集積化・評価設備(TKB812)

を整備し、MEMSに関連する企業や大学が集結して共同研究や

実証開発によるオープンイノベーションを推進する場を提供し

ています。先端MEMSデバイスによる小型化、高機能化、産業競

争力強化などに加えて、アプリケーション指向で集積化および

システム化の研究開発を推進することにより、生活環境、インフ

ラ、省エネルギーなどの分野で、社会に貢献する技術開発を目指

します。また、MEMSに関連する技術開発の将来を見据えて、プ

ロセス実習を含む講習会などの人材育成活動を推進しています。

 これらのMEMS製造設備は、産総研の共用施設利用サービスに

より広く大学や企業の皆様に利用いただけます。また、Micro-Nano Open Innovation Center

(MNOIC)などと連携して、大口径

ウェハMEMS製造ラインによる

デバイス試作、各種加工分析装置

による研究開発サポートなどのファンドリーサービスを、民間企業や大学等の学

術研究機関に対して提供しています。

Si深掘エッチャによる高アスペクト比加工。X線レンズへの適用例。

犠牲層ドライエッチャによるMEMS構造体のリリース。

ウェハtoウェハ、チップtoウェハ接合による低温接合。センサ/TSV基板実装への適用。

MEMS

E

M

S研究開発拠点

MEMS研究開発拠点

MEMS研究開発施設による加工事例

Si深掘りエッチャ 深溝加工 (幅:5μm, 深さ:200μm) SOIウェハを用いた センサ可動構造体リリース SOIウェハの酸化膜層を犠牲層として利用 8”TSVウェハへのチップ接合実装例 接合実装チップ拡大写真 キャノン・マーケティング・ ジャパン㈱殿ご提供 深溝加工 (幅:20μm, 深さ:400μm) 12”Siウェハによる X線望遠鏡レンズ 犠牲層ドライエッチャ (8”) ウェハto ウェハ接合(8”) チップto ウェハ接合(8/12”)

(15)

15 更衣室 更衣室 搬入室 マスクレス 露光装置 コータ デベロッパ レーザ 顕微鏡 赤外 顕微鏡 X線 CT 分析 SEM 測長 SEM 薄膜応力 評価装置 レーザ 顕微鏡 表面形状測定器 検査装置ウエハ ウエハ to ウエハ 接合装置 チップ to ウエハ 接合装置 単分子膜 処理装置 EB蒸着 熱処理炉 段差計 膜厚計 アッシャ アニール炉 酸化炉 スパッタ 超音波 顕微鏡 DUV 照射装置 テスタ ブローバ 表面観察 装置 減圧CVD (D-polySi) 減圧CVD (Si3N4) 低温Si酸化膜 TEOSプラズマCVD 12インチSi 深堀リエッチャ 8インチSi 深堀りエッチャ SiO2エッチャ 金属エッチャ 犠牲層 ドライエッチャ シート抵抗測定器 エリプソメータ マスク 露光機 乾燥機IPA ドラフト有機 i-線 ステッパ コータ デベロッパ シリンダキャビネット シリンダキャビネット リソ室:イエロー Wet室:イエロー ウェハスピン 洗浄装置 ウェハディップ 洗浄装置 超純水精製装置 異方性 ウエット エッチャ 顕微鏡 エア シャワー エア シャワー 顕微鏡

施設紹介

前工程設備:TKB812-F

後工程・検査設備:TKB812-B

※これら二つのメインクリーンルームに加え、ダイシング関連を中心とした後工程設備(TKB812-B2)や、圧

電薄膜加工評価設備、電子ビーム描画装置などをつくば東事業所内のクリーンルームに設置し、MEMS

加工設備として一体運用しています。

(16)

16

8

金属膜ドライエッチング装置

Si 酸化膜ドライエッチング装置

【MEMS017】【MEMS018】

i- 線ステッパ

【MEMS006】 【MEMS007】

マスク露光装置

【MEMS008】

マスクレス露光装置

Si 酸化膜プラズマ CVD 装置

【MEMS011】 【MEMS012】

スパッタ

酸化炉

【MEMS013】

アニール炉

【MEMS014】

Si窒化膜減圧CVD装置

【MEMS015】

ポリSi減圧CVD装置

【MEMS016】 試料サイズ: 8インチウェハ 25枚バッチのカセット・ツー ・カセット ウェハ搬送処理、縦型チューブ仕様 酸化炉:Siウェハへの熱酸化膜形成、水素 ガス燃焼による水蒸気利用ウェット酸化 とドライ酸化、酸化温度最高1150℃ アニール炉:Siウェハの 雰囲気中アニール処理、 最高温度1150℃ Si窒化膜減圧CVD装置: 減圧CVDによるSiウェハ へのSi窒化膜形成、内部 応力制御成膜可能 ポリSi減圧CVD装 置: 減圧CVDによるSiウェハ へのリンドープ・ポリシ リコン膜形成

MEMS

E

M

S研究開発拠点

装置紹介

Lab Equipment

1

3

4

5

6

7

ウェハスピン洗浄装置

【MEMS002】

2

試料サイズ: 8,12インチウェハ ウェットエッチング液による枚葉処理ス ピン洗浄・乾燥、洗浄プロセス:アンモニ ア/過酸化水素水、塩酸/過酸化水素水、希 釈フッ酸による洗浄と超純水リンス洗 浄。 試料サイズ: 8インチウェハ i-線(紫外線)によるレチクルパターンの 1/5縮小投影露光 最小解像線幅:0.35μm 使用レチクル:6インチ角 標準レジスト厚さ:1μm 試料サイズ: 8,12インチウェハ TEOS液体ソースのプラズマCVDによるSi 酸化膜低温形成、成膜温度:200℃ 試料サイズ: 6,8インチウェハ 1:1転写露光、ラージギャップ、 高段差露光、裏面アライメント対応 露光モード:バキューム/ハードコンタク ト /プロキシミティ露光 アライメント精度:±0.5μm以内 標準レジスト厚さ:1μm、10μm 試料サイズ: 8インチウェハ 3チャンバ構成マグネトロンスパッタに よるウェハへの各種金属膜、絶縁膜形成、 ターゲットへのDC/RF電圧選択印加可能 試料サイズ: 最大500mm角、12,8イン チウェハ、その他任意形状 レーザ光源とDLP(MEMSミラー)による パターン直描露光 最小線幅:1μm 試料サイズ: 8インチウェハ ハロゲン系ガス(金属膜)及びフッ素系ガ ス(Si酸化膜)のICP高密度プラズマによる ドライエッチング加工 カセット・ツー ・カセット搬送処理 エッチング終点判定機能

(17)

17

8”Si 深掘りドライエッチング装置

【MEMS019】

チップtoウェハ接合装置

【MEMS038】

ブレードダイサー

【MEMS032】

犠牲層ドライエッチング装置

【MEMS021】

X線CT評価装置

【MEMS048】

ウェハtoウェハ接合装置

【MEMS039】

大面積ナノインプリント装置

【MEMS035】

12”Si 深掘りドライエッチング装置

【MEMS020】

レーザステルスダイサー

【MEMS033】

9

15

12

11

17

16

14

10

13

試料サイズ: 8インチウェハ フッ素系ガスのICP高密度プラズマによる ドライエッチング加工、ボッシュプロセ ス深堀り加工、 カセット・ツー ・カセット搬送処理 プラズマ発光分光検出 ウェハエッジ保護機能付属 試料サイズ: 12インチウェハ以下 チップサイズ: 1-20 mm 角 ウェハ及び基板上へのフリップチップ接 合。 接合温度:60-450℃ アライメント精度:±0.5μm 試料サイズ: 最大12インチウェハ ダイヤモンドブレードによるウェハ切 断、ステージ分解能:0.1μm 送り速度:0.1−600mm/s 試料サイズ: 4,6,8インチウェハ フッ酸ベーパーによるSi酸化膜犠牲層の ドライエッチング 終点判定機能付属 試料サイズ: 8,12インチウェハ,チッ プ形状 X線CTスキャン顕微鏡観察 チップホルダ付属、 取込立体画像/CAD図形変換、 観察エリア:最大8インチ、 最高分解能:1μm 試料サイズ: 4,6,8インチウェハ ウェハtoウェハの低温接合 プラズマ活性化チャンバ 接合チャンバ内アライメント機能 アライメント精度:±0.5μm 接合温度:60-250℃、 最大加圧力:20000N 試料サイズ: 200mm角以下 熱および光ナノインプリントによる微細 パターン形成 加工温度:200℃ 最大圧力:5MPa 試料サイズ: 12インチウェハ ボッシュプロセス深堀り加工、カセット ・ツー ・カセット搬送処理、プラズマ発光 分光検出機能付属 試料サイズ: 最大8インチウェハ ダイヤモンドブレードによるウェハ切 断、ステージ分解能:0.1μm 送り速度:0.1−600mm/s

(18)

18

 MEMS開発拠点では、Micro-Nano Open Innovation Center(MNOIC)と協力して、研究開発サポートからデ

バイスの試作評価まで、広範囲なMEMSファンドリ-サービスを提供しています。

 MEMSの研究開発には高額な研究・製造施設が必要であり、ベンチャーや中小企業が参入するには、共用の

MEMS研究拠点とファンドリー

サービスが大きな役割を果たし

ます。世界最先端の8/12イン

チM E M S ラ イ ン [ T K B 8 1 2 ]が

TIA-nanoに整備されたことに

対応して、2011年4月に(一財)

マイクロマシンセンターにより

MNOICが設立されました。こ

れまでに、産業界を中心とした

ユーザに対し、個別工程の代行

に始まり、商品化に向けたデバ

イス試作評価まで、多様なサー

ビスを実施しています。

MEMS

E

M

S研究開発拠点

MNOICと連携したファンドリーサービスの提供

利用可能な装置の一覧

装置番号

施設名称

試料寸法

設置場所

装置分類

MEMS001 ウェハディップ洗浄装置

200 mm/300 mm

TKB812-F

処理

MEMS002 ウェハスピン洗浄装置

200 mm/300 mm

TKB812-F

処理

MEMS003 有機ドラフト

300 mm以下

TKB812-F

処理

MEMS004 異方性ウェットエッチング装置

200 mm

TKB812-F

加工

MEMS005 IPAベーパー乾燥機

200 mm

TKB812-F

処理

MEMS006 i-線ステッパ

200 mm

TKB812-F

加工

MEMS007 マスク露光装置

150 mm/200 mm

TKB812-F

加工

MEMS008 マスクレス露光装置

500 mm角以下

TKB812-F

加工

MEMS009 コーターディベロッパ

200 mm/300 mm

TKB812-F

加工

MEMS010 光学顕微鏡

300 mm以下

TKB812-F

分析・評価

MEMS011 Si酸化膜プラズマCVD装置

200 mm/300 mm

TKB812-F

加工

MEMS012 スパッタ

200 mm

TKB812-B

加工

MEMS013 酸化炉

200 mm

TKB812-F

加工

MEMS014 アニール炉

200 mm

TKB812-F

加工

MEMS015 Si窒化膜減圧CVD装置

200 mm

TKB812-F

加工

MEMS016 ポリSi減圧CVD装置

200 mm

TKB812-F

加工

MEMS017 金属膜ドライエッチング装置

200 mm

TKB812-F

加工

MEMS018 Si酸化膜ドライエッチング装置

200 mm

TKB812-F

加工

MEMS019 8"Si深掘ドライエッチング装置

200 mm

TKB812-F

加工

TIA-NANO MEMS 研究開発拠点

MicroNano Open Innovation Center

M N O I C @ T I A

(産学連携によるイノベーション実現の場) ●NMEMS NIMS 筑波大学 KEK 国内大学 海外研究機関 運営協力 My ラボ My ファブ 産学共同研究 人材養成 研究支援 国プロ 研究委託 人材育成 企業ユーザー AIST 集積マイクロシステム研究センター(UMEMSME) つくば R&D プラットホーム (8/12インチMEMS関連設備、研究リソース、知財) 技術 研究組合 MEMS協議会

(19)

19

装置番号

施設名称

試料寸法

設置場所

装置分類

MEMS020 12"Si深掘ドライエッチング装置

300 mm

TKB812-F

加工

MEMS021 犠牲層ドライエッチング装置

100 mm/150 mm/200 mm

TKB812-F

加工

MEMS022 アッシャー

200 mm/300 mm

TKB812-F

加工

MEMS023 光学検査顕微鏡

200 mm以下

TKB812-F

分析・評価

MEMS024 段差測定器

200 mm以下

TKB812-F

分析・評価

MEMS025 エリプソメーター

200 mm以下

TKB812-F

分析・評価

MEMS026 膜厚測定器

200 mm以下

TKB812-F

分析・評価

MEMS027 ウェハ塵埃検査装置

200 mm以下

TKB812-F

分析・評価

MEMS028 干渉型表面形状評価装置

200 mm以下

TKB812-F

分析・評価

MEMS029 シート抵抗プローバー

200 mm以下

TKB812-F

分析・評価

MEMS030 赤外線レーザ顕微鏡

200 mm以下

TKB812-F

分析・評価

MEMS031 レーザ顕微鏡

200 mm以下

TKB812-F

分析・評価

MEMS032 ブレードダイサー

300 mm以下

TKB812-B2

加工

MEMS033 レーザステルスダイサー

200 mm以下

TKB812-B2

加工

MEMS034 光学顕微鏡

300 mm以下

TKB812-B2 分析・評価

MEMS035 大面積ナノインプリント装置

200 mm角以下

TKB812-B2

加工

MEMS036 電子ビーム/抵抗蒸着装置

200 mm/300 mm

TKB812-B

加工

MEMS037 熱処理装置

200 mm

TKB812-B

加工

MEMS038 チップtoウェハ接合装置

100 mm/150 mm/200 mm/300 mm TKB812-B

加工

MEMS039 ウェハtoウェハ接合装置

100 mm/150 mm/200 mm

TKB812-B

加工

MEMS040 単分子膜表面処理装置

200 mm

TKB812-B

処理

MEMS041 光表面処理装置

200 mm

TKB812-B

処理

MEMS042 12"ウェハ常温接合装置

100 mm/150 mm/200 mm/300 mm

3F-CR

加工

MEMS043 測長SEM

200 mm

TKB812-B

分析・評価

MEMS044 分析SEM

100 mm/150 mm/200 mm/300 mm TKB812-B

分析・評価

MEMS045 超音波顕微鏡

300 mm以下

TKB812-B

分析・評価

MEMS046 赤外線顕微鏡

200 mm以下

TKB812-B

分析・評価

MEMS047 薄膜応力評価装置

200 mm

TKB812-B

分析・評価

MEMS048 X線CT評価装置

200 mm/300 mm

TKB812-B

分析・評価

MEMS049 テスタープローバー

200 mm以下

TKB812-B

分析・評価

MEMS050 光学顕微鏡

300 mm以下

TKB812-B

分析・評価

MEMS051 圧電定数評価装置

100 mm-200 mm

3H-CR

分析・評価

MEMS052 高温熱ナノインプリント装置

70 mm以下

2A実験室

加工

MEMS053 電子ビーム描画装置

100 mm/150 mm

2G-CR

加工

MEMS054 大面積コーターディベロッパ

500 mm角以下

TKB812-F

加工

MEMS055 レジストスプレーコータ

200mm 角以下

2G-CR

加工

(20)

20

NPF

ナノプロセシング施設(NPF)

施設概要

 進歩の速いナノテクノロジーでは、如何に迅速

に新材料・デバイスの試作品を作製、動作を解析

し、その結果を次の研究開発に結び付けるかが研

究開発の成否を分けます。ナノプロセシング施設

(Nano-Processing Facility:NPF)では、装置の提供及

び技術指導等を通じて皆様のアイディアを迅速に

結果に結びつけることを目的に様々な支援活動を

実施しております。

 特に、ナノエレクトロニクス、ナノマテリアル、N&MEMS、フォトニクス、バイオ、環境エネルギー等の研究開

発の推進に必要不可欠な、超微細加工、実装、計測・評価、デバイス試作のための先端機器を、産学官の研究者及び

技術者に提供しております。

 専門家による技術相談、技術支援等のサービス(下記参照)を提供するとともに、若手研究者や高度技術者の育成

も実施しております。また、定形外の小片から定形の3 ~ 6インチ基板(一部装置は8インチにも対応)までの各種

材料の微細加工を行う装置群も整備しており、トレーニングをはじめとする手厚いサービスを提供しております。

提供サービス

1. 技術相談

  利用者の目的を達成するために、どのようにNPFの装置を利用し問題を解決するのが最適か研究者及び

スタッフが共に考えます。

2. 機器利用

  先端機器を利用者ご自身にご利用頂くサービスです。ネット上の予約システム(右ページ参照)により、

ご都合の良い時間を選んでご予約頂くことが可能です。機器の操作方法についてはトレーニングを行っ

ておりますので、初めての方も安心してご利用いただくことができます。

3. 技術指導(ナノテクノロジープラットフォームの技術補助に相当)

  装置の使用方法等について専門家が技術指導を行います。

4. 技術代行

  技術的に高度で習熟に時間がかかる機器を利用する場合等は、NPFのスタッフが装置の操作を代行致

します。

人材育成

● H27年度開催セミナー

 NPFでは人材育成を目的に、各分野の専門家を講師としたセミナーを開催しております。H27年度には下記

のセミナーを実施致しました。(2016年4月現在)

1.イオン液体を用いた酸化物トランジスタ作製プロセス(2015/7/23-24)

2.学生研修プログラム マイクロ流路作製(2015/8/3-6)

3.学生研修プログラム FIBによる試料作製とTEMによる観察・分析の研修(2015/9/7-11)

4.バイオ&計測実践セミナーⅠ(2015/9/18)

5.FIB加工技術実践セミナー(2015/10/30)

6.成膜プロセス実践セミナー(2015/12/14)

7.バイオ&計測実践セミナーⅡ(2016/3/15)

(21)

21

クリーンルーム装置レイアウト

クリーンルーム(全体):600 ㎡

清浄度:

CR1(分析計測室):クラス10000

CR2(イエロールーム):クラス1000

CR3(i線ステッパー作業室):クラス100

CR4(研磨・ダイシング室):クラス10000

CR5(成膜・エッチング室):クラス10000

予約システム(NPFシステム)

https://ssl.open-innovation.jp/npf/

 

ナノプロセシング施設(NPF)では、インター

ネットから支援申請、装置予約等を行える

NPFシステムを公開しております。

 

NPFシステムに登録することにより、オペ

レーターを介することなく、迅速に装置の空

き時間を調べ、予約を行うことが可能です。

また、NPFシステムからいつでも過去の利用

実績等を調べることが出来るため、随時利用

料金等を見積もることが可能となっており

ます。

 

また、システムを通じて、セミナー開催等の

お知らせを発信しております。

スピン コーター UV クリーナー マスクレス 任意パターン マスク アライナー マスク アライナー (MJB4) 真空蒸着装置 多元同時 スパッタ TEOS-CVD ナノ プローバー プラズマ アッシャー ウェハー 酸化炉 RTA炉 RF/DC スパッタ装置 スパッタ装置 RIE マッフル炉 マスクレス 露光装置 (NPF) SPM SPM FT-IR RAMAN 電子線 描画装置 電子ビーム 描画装置 スクライバー イオン コーター ダイシングソー イオン スパッタ ワイヤー ボンダー ウイルス チェック用 PC 触針式 段差計 レーザー顕微鏡 (VK-9710) 全焦点顕微鏡 デバイス パラメータ 評価装置 デバイス 容量 評価装置 分光エリプソ メーター ナノサーチ 顕微鏡 真空パック 有機ドラフト 酸ドラフト レーザー顕微鏡 (OLS-4100) 光学 顕微鏡 i線ステッパー スピンコーター 光学顕微鏡 CMP FIB-SEM FE-SEM 低真空 SEM FIB XRF 多目的エッチング 装置(ICP-RIE) クリーン オーブン

CR2

クラス 1000 クラス 100

CR3

CR4

クラス 10000

CR5

クラス 10000

CR1

クラス 10000 原子層堆積装置 小型真空 蒸着装置 アルゴン ミリング 原子層堆積装置 ミリング機能 ウェハー圧着器 四探針プローブ抵抗測定装置 有機薬品庫 酸アルカリ 薬品庫 レーザー顕微鏡 スマート ウォーター バス ドラフト 超純水 製造装置 スターラー ウォーターバス

(22)

22

NPF

1

5

3

2

6

4

装置紹介

Lab Equipment

電子ビーム描画装置

【NPF001】

スパッタ装置

【NPF025】

マスクレス露光装置

【NPF006】

高分解能電界放出電子顕微鏡(FE-SEM)

【NPF004】

原子層堆積装置

【NPF031】

i線露光装置

【NPF011】 ▼仕様  細く絞った電子線を基板表面に照射し、微細な加工(描画)を 行うための装置です。その分解能は電子線のビーム径に依存 します。電子線をスポット照射させる加工方法である為、加工 時間は、微細かつ加工領域が大きくなるほど長くなります。試 料は最大6インチ基板まで扱えます。 ●走査領域:最大1mm□ ●つなぎ合わせ描画領域:最大150mm□ ●つなぎ合わせ精度:50nm以下 ●重ね合わせ精度:50nm以下 ●試料寸法:最大6インチφ×4.6mm(高さ) ▼仕様  細高周波励起によりArプラズマを発生させて薄膜材料へ衝 突させることにより、基板へ均質緻密な膜をスパッタします。 ロードロック室付なので基板交換を効率良く迅速に行えます。 3種までの材料を逐次多重成膜することが出来ます(手動)。強 磁場を発生させる特別な磁石を組み込んだカソードも1台組み 込んであり、強磁性材料に対しても高レートの成膜を可能とし ています。真空システムと基板搬送は自動化してあり、操作パ ネル上で手軽に操作出来ます。 ●加熱温度:最大300℃  ●到達真空度:2×10-4 Pa ●反応ガス:Ar, O2, N2 ▼仕様   露 光 パ タ ー ン 発 生 用 の 空 間 光 変 調 器 にDMD (DigitalMicromirror Device)を用いたマスクレス方式の露光装 置です。フォトマスクやレチクルを使用せずに、CADデータ (GDSII形式)で設計した任意の形状を基板上のフォトレジスト に直接パターニングすることができます。フォトマスクを作 製する必要がないため、思い付いたパターンをすぐに試作する ことが可能で、近年急速に需要が伸びています。 ●光源:波長405nm(LED) ●露光最小画素:□1μm ●最大露光領域:□100mm ●重ね合わせ精度:±1μm ▼仕様  この装置は、細く絞った電子線で試料表面を2次元的に走査 し、その際に出てくる2次電子信号から、表面の凹凸や材質の違 いなどを像として表示します。微細加工された部分の形状な どを観察評価するために用います。電子線を試料表面で細く 収束し、非常に微細な構造を観察するために特殊な電子銃を用 いています。試料を傾けながら像を観察することにより、3次 元的な形状を把握することもできます。 ●分解能:1.0nm(加速電圧15kV, WD = 4mm) ●試料サイズ:15 ~ 150mmφ ●検出器:2次電子検出器、エネルギー分散型X線検出器 ▼仕様  原子層堆積(ALD)装置は、原料分子ガスと反応物質ガスの供給を 別々のステップで行い、一層ずつ成膜することにより、原子層レベ ルで膜厚を制御して平坦で緻密な薄膜を形成することが可能な装 置です。原料分子をガス状にして供給するため、上面だけでなく 試料の側面等にも均一に成膜することが可能で、近年急速に需要 が伸びています。これまでに報告されているALD装置の応用例と しては、(1)銅配線の拡散防止膜、銅めっきシード層、(2)高効率太 陽電池、(3)有機EL、EL、有機トランジスタデバイスのH2O、O2の拡 散を止める封止膜、 (4)高誘電率ゲート絶縁膜など、多数あります。 ●試料寸法:8インチウェハ以下 ▼仕様  この装置は、最大開口数(N.A.)0.63の投影レンズを搭載し、 解像度350nm以下に対応したi線縮小投影型露光装置です。投 影レンズはN.A.可変システムを採用しており、プロセス条件 に応じて0.50 ~ 0.63の間で最適な選択することが可能です。 特殊ステージを備えておりφ2 ~φ8インチウェハおよび□ 20mm、□18mm、□15mm、□10mmの切り出しチップの露光 が可能です。 ●露光範囲:□22mm(ウェハー上)  ●レチクル:6インチ石英ガラス ●総合アライメント精度:55nm以下 メーカー:クレステック ●型番:CABL-9410TFNA ●描画可能な最小線幅:  10nm ●最小スポット直径:  ガウス分布2nmΦ(加速 電圧50kV) メーカー: 芝浦メカトロニクス ●型番:CFS-4EP-LL ●スパッタ源:  3インチマグネトロン  ×3式 メーカー: ナノシステムソリューションズ メーカー: 日立ハイテクノロジーズ ●型番:S-4800 ●電子銃:  冷陰極電界放出型電子銃 ●加速電圧:0.5 ~ 30kV メーカー:オックスフォード・ インストゥルメンツ株式会社 ●型番:FlexAL ●成膜可能材料:  Al2O3、SiO2、HfO2、

ZnO、TiO2、SiN、他 メーカー:二コンテック ●型番:NSR-2205i12D ●露光光源:i線      (波長365 nm) ●解像度:350 nm以下

参照

関連したドキュメント

Instagram 等 Flickr 以外にも多くの画像共有サイトがあるにも 関わらず, Flickr を利用する研究が多いことには, 大きく分けて 2

200 インチのハイビジョンシステムを備えたハ イビジョン映像シアターやイベントホール,会 議室など用途に合わせて様々に活用できる施設

建設機械器具等を保持するための費用その他の工事

ASTM E2500-07 ISPE は、2005 年初頭、FDA から奨励され、設備や施設が意図された使用に適しているこ

生活のしづらさを抱えている方に対し、 それ らを解決するために活用する各種の 制度・施 設・機関・設備・資金・物質・

廃棄物の再生利用の促進︑処理施設の整備等の総合的施策を推進することにより︑廃棄物としての要最終処分械の減少等を図るととも

都立赤羽商業高等学校 避難所施設利用に関する協定 都立王子特別支援学校 避難所施設利用に関する協定 都立桐ケ丘高等学校

当該発電用原子炉施設において常時使用さ れる発電機及び非常用電源設備から発電用