• 検索結果がありません。

! 2 ダウンロード後 XILINX_Vivado_SDK_****.**_****_*_Win64.exe をダブルクリックして起動します ファイル名の ** 部分の数字はソフトウェアのバージョンによって異なります 拡張子.exe はお使いのパソコンの設定により表示されない場合があります 3 We

N/A
N/A
Protected

Academic year: 2021

シェア "! 2 ダウンロード後 XILINX_Vivado_SDK_****.**_****_*_Win64.exe をダブルクリックして起動します ファイル名の ** 部分の数字はソフトウェアのバージョンによって異なります 拡張子.exe はお使いのパソコンの設定により表示されない場合があります 3 We"

Copied!
12
0
0

読み込み中.... (全文を見る)

全文

(1)

 2. ザイリンクスアカウントの取得  3. ライセンスの取得と設定  4. USB ドライバのインストール

1. Vivado のインストール

Vivado(ビバド)は XILINX(ザイリンクス)の FPGA/CPLD の統合型設計環境です。

回路図とテキスト形式によるデザイン入力、統合された VHDL と Verilog HDL 合成、配置配線、タイミ ング検証、プログラミングなどの機能を兼ね備えています。XILINX デバイスの開発においてユーザはデザイ ン設計から実機評価までを Vivado を中心に行うことができます。

Vivado にはいくつかのエディションがあり、本書ではVivado HL WebPACKを使用します。

Vivado HL WebPACK は「デバイス制限」 がありますが無償で使用することができ、本書の利用範囲では 十分な機能が揃っています。

XILINX 社の WEB サイト

https://japan.xilinx.com/support/download/index.html/content/xilinx/ja/downloadNav/vivado-design-tools.html

を開き、「Vivado HLx 2016.4: WebPACK および Editions - Windows 用自己解凍型ウェブ インストーラー」

をクリックしてダウンロードしてください。 Vivado のインストールとザイリンクスアカウントの取得にはインターネット環境が必要です。 Vivado インストーラーをダウンロードするためにザイリンクスアカウントが必要な場合が あります。その場合は P.15「2. ザイリンクスアカウントの取得」を先に進めてください。 最新版は 2016.04 ではないかもしれませんがご了承ください。 本書は Vivado 2016.4 をもとに編集しています。 1

(2)

ファイル名の ** 部分の数字はソフトウェアのバージョンによって異なります。 拡張子 .exe はお使いのパソコンの設定により表示されない場合があります。

■ インストールタイプの選択

➊ ザイリンクスユーザーアカウントが既に取得済みの方 は、ユーザー ID とパスワードを入力してください。 アカウントを未取得の方は、 「Please create one」をク リックし、WEB ページのガイドに従って登録してくださ い。登録方法は、P.15 ~を参照

➋「Download and Install Now」 にチェックを入れて

➌「Next」をクリックします。 ■ Welcome 画面 「Next」をクリックします。 インストール時間を短縮するためには、ウイルス対策ソフト ウェアを無効にしてからインストールすることを勧めています。 ➊ ➊ ➌ ■ソフトウェアライセンスの承諾 ➊ すべての「 I Agree」にチェックを入れて ➋「Next」をクリックします。 ➋ 3 4 5

(3)

➋「Next」をクリックします。 ➋ ➊ ➋ ➊ ➋ ■インストールディレクトリの選択 ➊ デフォルトディレクトリは C:\XILINX です。   変更したい場合は「Browse...」をクリックしてディレクト リを指定してください。 ショートカットやファイルの関連付けはデフォルトのままでい いでしょう。 ➋「Next」をクリックします。 ■ インストールの概要 概要を確認して「Install」をクリックするとインストールが始 まります。 ■ インストールオプションの選択 ➊ 本書で学習する範囲では以下のオプションを選択してください。 Design Tools

 └ Vivado Design Suite   ├ ☑ Vivado

  └ ☑ Vivado High Level Synthesis

Devices  └ 7 Series   └ ☑ Artix-7

Installation Options  ├ ☑ Install Cable Drivers

 └ ☑ Acquire or Manage a License Key

➋「Next」をクリックします。

7

8

(4)

回線速度:約 25Mbps OS:Windows10 64bit CPU:i5 2.3GHz の環境で約 30 分かかります。 ■インストール完了 左記のようなメッセージが表示されるとインストール完了です。 「OK」をクリックします。 ■ケーブルドライバインストーラ

XILINX Platform Cable USB や Evaluation Platform JTAG ケーブルなどが接続されている場合は、それらを外して 「OK」をクリックします。 接続されていなくてもこのダイアログは表示されます。 ■ ライセンスマネージャー ライセンスマネージャーが自動起動した場合は、 「3. ライセンスの取得と設定」の➋に進んでくだ さい。 10 11 12

(5)

Vivado を使用するにはザイリンクスアカウントが必要です。アカウントは以下の手順で取得してください。

Vivado のインストール時に(P.12 ➍)、「Please create one」をクリックするか、

Web ブラウザで https://japan.xilinx.com/registration/create-account.html にアクセスして、 「アカウントの作成」ページを開いてください。 ■ Username ・3 文字以上でなければなりません。 ・数字だけではなく、少なくとも 1 つの文字を含める必要があ ります。 ・ハイフン( - )、記号(@)、ピリオド( . )またはアンダー スコア( _ )記号を使うことができます。 ■ Password ・8 文字以上~ 32 文字以下でなければなりません。 ・少なくとも 1 文字、1 数字、1 特殊文字を含む必要があります。 ■ Conform Password 確認用のため、上欄で入力したパスワードを再入力してくださ い。 空欄に必要事項を記入してください。 ■ Captcha 空欄の右側に表示された5文字を入力します。 入力完了後、「Create Account」をクリックします。

入力内容に問題が無ければ、「Activate Your Account」画面 が表示され登録完了です。

(6)

Vivado を使用するにはライセンスが必要です。ライセンスは以下の手順で取得してください。

Vivado License Manager を起動します。 スタートメニュー

 > XILINX Design Tools

   > Manage XILINX Licenses を選択して起動します。

もしくは、Vivado が起動した状態で メニュー> Help > Manage License... を選択して起動します。

➊Get License > Obtain License を選択

➋ Get Free ISE WebPACK, ISE/Vivado IP or PetaLinux License を選択 ➌Connect Now をクリック ➊ ➋ ➌ 1 2

(7)

ザイリンクスアカウントを入力して「Sign In」をク リックします。

ライセンス取得に必要な追加事項を記入し、 「Next」をクリックします。

➊「Vivado Design Suite: HL WebPACK 2015 and Earlier License」にチェックを入れて、

➋「Ganerate Node-Locked License」 を ク リ ッ クします。

➊ ➋

5 4

(8)

を確認し、「Next」をクリックします。 「Next」をクリックします。 ライセンスファイルの生成が成功したメッセージが表 示されます。 ダイアログ右上の「×」をクリックして閉じます。 8 7

(9)

ダウンロードします。

Web ブラウザの作業は以上です。

Vivado License Manager に戻って、

➊Get License > Load License を選択

➋Copy License をクリック ➊ ➋ ファイル指定ダイアログで、 ➊ ローカルにダウンロードした「XILINX.lic」を指定し、 ➋「開く」をクリックします。 ➊ ➋ 次のページへ 10 11

(10)

「OK」をクリックします。

➊Manage License > View License Status を 選択すると、ライセンス許可された機能がリストアップ されます。

➋ Vivado License Managerを終了します。 Fileメニュー > Exit を選択するか、ウインドウ右上 の「×」をクリックします。 以上でライセンスの取得と設定完了です。 ➊ ● ➋ 13

(11)

LOW LD20 JP2 JA JXADC LD15

(L1)LD14(P1)LD13(N3)LD12(P3)LD11(U3)LD10(W3)LD9(V3) LD8(V13)LD7(V14)LD6(U14)LD5(U15)LD4(W18)LD3(V19)LD2(U19)LD1(E19)LD0 SW15 SW14 SW13 SW12 SW11 SW10 SW9 SW8 SW7 SW6 SW5 SW4 SW3 SW2 SW1 SW0 (U16) (R2) (T1) (U1) (W2) (R3) (T2) (T3) (V2) (W13) (W14) (V15) (W15) (W17) (W16) (V16) (V17) DISP1 C124 C117 C109 R169 C123 C111 C110 C122 C101 C83 C 11 J2 C12 C8 C7 IC2 1 JC JB 1 1 R102 QSPI JTAG USB LD19 JP1 LD16 R94 1 R101 R100 R99 C53 C102 C57 C55 C103 C86 C87 C88 C84 C85 C49 C50 C65 C64 C78 C79 C76 C77 C95 C94 C97 C96 C46 C47 C48 C45C61 C60 C62 C63 C80 CLK100MHz (W5) C81 C99 C98 BTNL (W19) BTND (U17) BTNR (T17) BTNU (T18) | UNIVERSITY PROGRAM BTNC (U18) IC7 R170 C125 C127R162 R173R165 SW16 J6 J4 C15 C21 IC1 LD17 LD18 5V Only 1 1 w w w . d i g i l e n t i n c . c o m POWER POWER RXTX 3V3 GND 3V3 GND GND USB EXT EXT ONPROG OFF PROG DONE MODE BUSY USB 3V3 GND 3V3 GND XILINX ARTIX-7 Basys3 ボードの電源セレクタが「USB 電源モード」になっていることを確認し、

パソコンと Basys3 ボードを付属の USB ケーブルで接続し ,Basys3 ボードの電源スイッチを ON します。

ドライバは自動インストールされます。

Windows のデバイスマネージャーで下図のように「ポート(COM と LPT)」の階層に「USB Serial  port」が 2 ポート認識されていればインストール成功です。COM 番号はパソコンの環境により異なります。 COM 番号が多数表示されている場合は、デバイスマネージャーを開いたまま Basys3 ボードを抜くと表示 1 2 電源スイッチ 電源セレクタ

(12)

パソコンと Basys3 ボードを USB ケーブルで接続し、電源スイッ チを ON すると、ボードの POWER-LED(LD-20)が赤く点灯 します。 点灯しない場合は、パソコンの別の USB ポートに差し替えたり、 別の USB ケーブルがあれば交換してご確認ください。

電源供給の確認

QSPI モードで電源を ON すると、出荷状態ではデモプログラムが起動します。 JTAG モードでは何も起動せず待機状態となります。 LOW LD20 JP2 JA JXADC LD15 (L1) LD14 (P1) LD13 (N3) LD12 (P3) LD11 (U3) LD10 (W3) LD9 (V3) LD8 (V13) LD7 (V14) LD6 (U14) LD5 (U15) LD4 (W18) LD3 (V19) LD2 (U19) LD1 (E19) LD0 SW15 SW14 SW13 SW12 SW11 SW10 SW9 SW8 SW7 SW6 SW5 SW4 SW3 SW2 SW1 SW0 (U16) (R2) (T1) (U1) (W2) (R3) (T2) (T3) (V2) (W13) (W14) (V15) (W15) (W17) (W16) (V16) (V17) DISP1 C124 C117 C109 R169 C123 C111 C110 C122 C101 C83 C 11 J2 C12 C8 C7 IC2 1 JC JB 1 1 R102 QSPI JTAG USB LD19 JP1 LD16 R94 1 R101 R100 R99 C53 C102 C57 C55 C103 C86 C87 C88 C84 C85 C49 C50 C65 C64 C78 C79 C76 C77 C95 C94 C97 C96 C46 C47 C48 C45 C61 C60 C62 C63 C80 CLK100MHz (W5) C81 C99 C98 BTNL (W19) BTND (U17) BTNR (T17) BTNU (T18) | UNIVERSITY PROGRAM BTNC (U18) IC7 R170 C125 C127 R162 R173 R165 SW16 J6 J4 C15 C21 IC1 LD17 LD18 5V Only 1 1 w w w . d i g i l e n t i n c . c o m POWER POWER RX TX 3V3 GND 3V3 GND GND USB EXT EXT ON PROG OFF PROG DONE MODE BUSY USB 3V3 GND 3V3 GND XILINX ARTIX-7

参照

関連したドキュメント

した標準値を表示しておりますが、食材・調理状況より誤差が生じる場合が

されていない「裏マンガ」なるものがやり玉にあげられました。それ以来、同人誌などへ

ダウンロードしたファイルを 解凍して自動作成ツール (StartPro2018.exe) を起動します。.

はありますが、これまでの 40 人から 35

、肩 かた 深 ふかさ を掛け合わせて、ある定数で 割り、積石数を算出する近似計算法が 使われるようになりました。この定数は船

しかしながら、世の中には相当情報がはんらんしておりまして、中には怪しいような情 報もあります。先ほど芳住先生からお話があったのは

この P 1 P 2 を抵抗板の動きにより測定し、その動きをマグネットを通して指針の動きにし、流

基準の電力は,原則として次のいずれかを基準として決定するも