• 検索結果がありません。

はじめに、授業計画pdf 最近の更新履歴 Hideo Fujiwara

N/A
N/A
Protected

Academic year: 2018

シェア "はじめに、授業計画pdf 最近の更新履歴 Hideo Fujiwara"

Copied!
45
0
0

読み込み中.... (全文を見る)

全文

(1)

コンピュータ設計概論

藤原秀雄

(2)

講義スタイル

教科書を使って講義します。

 図や表はスライド(プロジェクター)を使い、

 教科書の内容は、ホワイトボードに板書しながら説明します。

毎回出席を取ります。

 講義の理解を深めるために、毎回簡単な小テストを行います。

定期試験では教科書持ち込み可です。

 日頃から、授業の予習、復習に、教科書を活用してください。  評価基準・方法:

定期試験(筆記試験)   60%

(3)

教科書

藤原秀雄著: コンピュータ設計概論、工学図書 ,

論理回路 年前期 と

コンピュ タ設計概論 年後期

の両方の教科書

章  論理回路

第8章  コンピュ タ設計概論 

(4)

講義テーマ・概要

 半導体技術の進歩により、ディジタルシステムは現代の情報社 会に広く浸透しており、通常のコンピュータの他、マイクロプロ セッサ、メモリ、各種専用回路を一つのチップ上に集積したシス テムオンチップとして実現されます。  

 本講義では、このようなコンピュータやシステムオンチップな どのディジタルシステムをどのように設計するかに関する方法論 を理解し、関連する基礎知識を習得することを目的としています。 コンピュータの構成、設計論を学ぶことにより、コンピュータの 中身を理解し、簡単なコンピュータが設計できるようになります。 同時に、ハードウエアとしてのコンピュータに関連する基礎知識、 ならびに専門知識を習得できます。

(5)

講義スケジュール(授業計画)

第1回  はじめに 論理回路 … 第1章(要点だけ) 第2回  論理回路 … 第2章(要点だけ)

第3回  論理回路 … 第3章(要点だけ) 第4回  コンピュータの原理(1)

第5回  コンピュータの原理(2) 第6回  レジスタ転送レベルの設計 第7回  演算部の設計(1)

第8回  演算部の設計(2) 第9回  制御部の設計(1) 第10回 制御部の設計(2)

第11回 コンピュータの設計(1) 第12回 コンピュータの設計(2) 第13回 コンピュータの設計(3) 第14回 定期試験(筆記試験)

(6)

第1章 論理回路の基礎

(7)

論理和、論理積、論理否定

0 + 0 = 0 0 + 1 = 1 1 + 0 = 1 1 + 1 = 1 0・0 = 0 0・1 = 0 1・0 = 0 1・1 = 1

0 = 1 1 = 0

普通の代数との違い

ページ2

(8)

論理式、論理関数

論理代数  <{0,1}, +, ・,  ̄ , 0, 1> において 0,1の値をとる変数を 論理変数

論理変数と0と1に演算 +, ・ ,  ̄ を施して得られる式を論理式 論理式で表現する関数を論理関数

論理関数は論理式で表現される

例えば、多数決関数と呼ばれる論理関数はつぎの論理式

ページ2

(9)

論理式による表現

x1 x x f 0 0 0

0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

0 0 0 1 0 1 1 1

表1.1 多数決論理関数の真理値表

ページ3

(10)

論理式による表現 (最小項)

x1 x x f 0 0 0

0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

0 0 0 1 0 1 1 1

表1.1 多数決論理関数の真理値表

x・x・x3

最小項

ページ4

(11)

論理式による表現 (最小項)

x1 x x f 0 0 0

0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

0 0 0 1 0 1 1 1

表1.1 多数決論理関数の真理値表

x・x・x3

最小項

ページ4

(12)

論理式による表現 (最小項)

x1 x x f 0 0 0

0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

0 0 0 1 0 1 1 1

表1.1 多数決論理関数の真理値表

x・x・x3

最小項

ページ4

(13)

論理式による表現 (最小項)

x1 x x f 0 0 0

0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

0 0 0 1 0 1 1 1

表1.1 多数決論理関数の真理値表

x・x・x3

最小項

ページ4

(14)

論理式による表現(主加法標準形)

x1 x x f 0 0 0

0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

0 0 0 1 0 1 1 1

主加法標準形 = 最小項の論理和形  最小項

xxx3 xxx3

xxx3 xxx3 +

f = + +

(15)

論理ゲート

AND OR NOT

0 0 0 0 1 0 1 0 0 1 1 1

A•B A B

0 0 0 0 1 1 1 0 1 1 1 1

A+B A B

0 1 1 0 A A

論理積 論理和 論理否定

ページ13

(16)

論理ゲート

NAND NOR XOR

0 0 1 0 1 1 1 0 1 1 1 0

A•B A B

0 0 1 0 1 0 1 0 0 1 1 0

A+B A B

0 0 0 0 1 1 1 0 1 1 1 0

A + B A B

排他的論理和

ページ13

(17)

論理回路のシミュレーション

(18)

論理回路のシミュレーション

(19)

論理回路のシミュレーション

AND 0 0

0 1 1 0 1 1

0 0 0 1

NOT

(20)

論理回路のシミュレーション

OR 0 0

0 1

0 1

(21)

論理回路のシミュレーション

(22)

論理回路のシミュレーション

(23)

論理回路のシミュレーション

(24)

論理回路のシミュレーション

AND 0 0

0 1 1 0 1 1

0 0 0 1

NOT 0 1

(25)

論理回路のシミュレーション

OR 0 0 0

(26)

論理回路のシミュレーション

シミュレ ション結果

(27)

論理回路と真理値表

A B C X 0 0 0

0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

1 0 1 0 1 0 1

1

真理値表

(28)

論理回路と真理値表

A B C X 0 0 0

0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

1 0 1 0 1 0 1 1 真理値表

論理式

論理図

X = A B + C

C

A ・B

A ・B + C

(29)

論理回路

入力値、出力値、内部状態の値が0または1の組合せとして 表現できる回路を論理回路(ディジタル回路)

入力 内部状態 出力

(記憶)

ページ12

(30)

f 1 とf 2 は等価な論理式?

f = x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

f = x

1

+ x

2

x

3

二つの論理式を調べてみよう

(31)

f 1 とf 2 は等価な論理式?

f = x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

f = x

1

+ x

2

x

3

x

1

x

3

(32)

f 1 とf 2 は等価な論理式?

f = x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

f = x

1

+ x

2

x

3

x

1

x

2

x

3

(33)

f 1 とf 2 は等価な論理式?

f = x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

f = x

1

+ x

2

x

3

x

1

x

3

(34)

f 1 とf 2 は等価な論理式?

f = x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

f = x

1

+ x

2

x

3

x

1

x

2

x

3

(35)

f 1 とf 2 は等価な論理式?

f = x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

f = x

1

+ x

2

x

3

x

1

x

3

(36)

f 1 とf 2 は等価な論理式?

f = x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

f = x

1

+ x

2

x

3

x

1

x

2

x

3

x

1

x

2

x

3

(37)

f 1 とf 2 は等価な論理式?

f = x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

f = x

1

+ x

2

x

3

x

1

x

3

1 1

x

1

x

3

(38)

f 1 とf 2 は等価な論理式?

f = x

1

+ x

2

x

3

x

1

x

2

x

3

1 1

x

1

x

2

x

3

f = x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

f

1

とf

2

は等価である!

(39)

より小さな論理回路で実現

f

2

= x

1

+ x

2

x

3

(40)

より小さな論理回路で実現

f

2

= x

1

+ x

2

x

3

回路の大きさは

ANDゲートの個数(積項数) 入力線の総数(リテラル数)

で評価できる

(41)

論理式の簡単化

積項数が最小の論理和形を、項数最小論理和形

項数最小論理和形のうちで

リテラルの総数が最小の論理和形を、最小論理和形

f = x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

f = x + x x

積項数=5, リテラル数=15

ページ15 18

(42)

カルノー図による簡単化

x

1

x

x

3

最小項

f = x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

+ x

1

x

2

x

3

ページ15 18

(43)

カルノー図による簡単化

ブール代数の公理、分配律、相補律 x•a + x•a = x•(a + a) = x

x

1

x

3

x2x3

x1•x2•x3 + x1•x2•x3 = (x1 + x1)•x2•x3 = x2•x3

隣接するループを併合 ページ15 18

(44)

カルノー図による簡単化

ブール代数の公理、分配律、相補律 x•a + x•a = x•(a + a) = x

x

1

x

x

3

x1x2

x1x2 x x1•x2 + x1•x2 = x1• (x2 + x2) = x1

隣接するループを併合 ページ15 18

(45)

カルノー図による簡単化

隣接するループを併合し続ける

これ以上併合できないループ(極大なループ)を 主項と呼ぶ

x

1

x

x

3

x x2x3

f = x

1

+ x

2

x

3

ページ15 18

参照

関連したドキュメント

日頃から製造室内で行っていることを一般衛生管理計画 ①~⑩と重点 管理計画

前章 / 節からの流れで、計算可能な関数のもつ性質を抽象的に捉えることから始めよう。話を 単純にするために、以下では次のような型のプログラム を考える。 は部分関数 (

このたび牡蠣養殖業者の皆様がどのような想いで活動し、海の環境に関するや、アイディ

であり、最終的にどのような被害に繋がるか(どのようなウイルスに追加で感染させられる

わかりやすい解説により、今言われているデジタル化の変革と

・本計画は都市計画に関する基本的な方 針を定めるもので、各事業の具体的な

この国民の保護に関する業務計画(以下「この計画」という。

 本計画では、子どもの頃から食に関する正確な知識を提供することで、健全な食生活