• 検索結果がありません。

Xilinx UG380 Spartan-6 FPGA コンフィギュレーション ユーザー ガイド

N/A
N/A
Protected

Academic year: 2021

シェア "Xilinx UG380 Spartan-6 FPGA コンフィギュレーション ユーザー ガイド"

Copied!
162
0
0

読み込み中.... (全文を見る)

全文

(1)

User Guide [optional]

UG380 (v1.0) 2009 6 24 [optional]

Spartan-6 FPGA

コ ン フ ィ ギ ュ レーシ ョ ン

ユーザー

ガ イ ド

UG380 (v1.0) 2009 年 6 月 24 日

(2)

display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. Xilinx expressly disclaims any liability arising out of your use of the Documentation. Xilinx reserves the right, at its sole discretion, to change the Documentation without notice at any time. Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise you of any corrections or updates. Xilinx expressly disclaims any liability in connection with technical support or assistance that may be provided to you in connection with the Information.

THE DOCUMENTATION IS DISCLOSED TO YOU “AS-IS” WITH NO WARRANTY OF ANY KIND. XILINX MAKES NO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE DOCUMENTATION, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR NONINFRINGEMENT OF THIRD-PARTY RIGHTS. IN NO EVENT WILL XILINX BE LIABLE FOR ANY CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS OF DATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION.

© 2009 Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. PCI, PCI Express, PCIe, and PCI-X are trademarks of PCI-SIG. All other trademarks are the property of their respective owners.

本資料は英語版 (v1.0) を翻訳 し た も ので、 内容に相違が生 じ る 場合には原文を優先 し ます。 資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。 日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。

改訂履歴

次の表に、 こ の文書の改訂履歴を示 し ます。 日付 バージ ョ ン 説明 2006/06/24 1.0 初版 リ リ ース

(3)

改訂履歴. . . 2

このマニ ュ アルについて

マニ ュ アルの内容 . . . 9 その他の資料. . . 9 その他の リ ソ ース . . . 10

1

:

コ ン フ ィ ギ ュ レーシ ョ ンの概要

概要 . . . 11 設計に関す る 考慮事項. . . 12 FPGA コ ン フ ィ ギ ュ レーシ ョ ンデー タ ソ ース. . . .12 マ ス タモー ド. . . .12 ス レーブモー ド . . . .13 JTAG 接続 . . . .14 基本的な コ ン フ ィ ギ ュ レーシ ョ ン ソ リ ュ ーシ ョ ン. . . .14 低 コ ス ト を重視 し た ソ リ ュ ーシ ョ ン . . . .15 高速度を重視 し たオプシ ョ ン. . . .15 PCI リ ン ク のア ク テ ィ ベー ト 要件への適合. . . .16 単独お よ び複数の コ ン フ ィ ギ ュ レーシ ョ ン イ メ ージ. . . .16 マルチブー ト/セーフア ッ プデー ト . . . .16 I/O 電圧要件. . . .16 不揮発性デー タ の格納 . . . .16 コ ン フ ィ ギ ュ レーシ ョ ン中の FPGA I/O ピ ンの設定 . . . .17 集積度の異な る FPGA への移行 . . . .18 製品寿命. . . .19 不正な複製か ら FPGA ビ ッ ト ス ト リ ームの保護す る には . . . .19 同 じ コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ーム を複数の FPGA に読み込む. . . .19 コ ン フ ィ ギ ュ レーシ ョ ン を決定す る 要素 . . . 19

2

:

コ ン フ ィ ギ ュ レーシ ョ ン

イ ン タ ー フ ェ イ スの基本

JTAG イ ン タ ーフ ェ イ ス. . . 22 シ リ アルコ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス. . . 23 マ ス タシ リ アル. . . .25 ス レーブ シ リ アルコ ン フ ィ ギ ュ レーシ ョ ン. . . .26 シ リ アルコ ン フ ィ ギ ュ レーシ ョ ンデー タ タ イ ミ ン グ. . . .28 SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス . . . 28 単一デバ イ ス の SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン . . . .31 プ ラ ッ ト フ ォームフ ラ ッ シ ュ PROM SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン . . . .31 マ イ ク ロ プ ロ セ ッ サに よ る SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン. . . .32 SelectMAP デー タ 読み込み. . . .34 CSI_B . . . .34 RDWR_B. . . .34 CCLK. . . .34 継続的な SelectMAP デー タ の読み込み. . . .35 断続的な SelectMAP デー タ の読み出 し. . . .36 SelectMAP デー タ の順序. . . .38 SPI コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス . . . 39 マ ス タ SPI ベン ダー自動検出お よ びエ ラ ー処理機能 . . . .44 マ ス タ SPI タ イ ミ ン グ波形 . . . .45 マ ス タ SPI デ ュ アル (x2) お よ び ク ア ッ ド (x4) 読み出 し コ マ ン ド. . . .45

(4)

パ ワーオン シーケ ン ス に関す る 予防措置. . . .52 マ ス タ モー ド の外部 コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク . . . 53 コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク (CCLK) のボー ド レ イ ア ウ ト . . . 54 多目的 コ ン フ ィ ギ ュ レーシ ョ ン ピ ンの予約. . . 56

3

:

バウ ン ダ リ

スキ ャ ンおよび

JTAG

コ ン フ ィ ギ ュ レーシ ョ ン

概要 . . . 59 IEEE 1149.1 を使用 し た Spartan-6 デバ イ ス のバ ウ ン ダ リ ス キ ャ ン . . . 59 テ ス ト ア ク セ ス ポー ト (TAP) . . . .59 バ ウ ン ダ リ ス キ ャ ン タ イ ミ ン グパ ラ メ ー タ . . . .60 Spartan-6 デバ イ ス でのバ ウ ン ダ リ ス キ ャ ンの使用 . . . .61 設計に関す る 考慮事項. . . 62 JTAG 信号配線. . . .62 電源供給. . . .62 バ ウ ン ダ リ ス キ ャ ン での コ ン フ ィ ギ ュ レーシ ョ ン. . . .63

4

:

ユーザー

プ リ ミ テ ィ ブ

BSCAN_SPARTAN6 . . . 65 ICAP_SPARTAN6 . . . 66 STARTUP_SPARTAN6 . . . 67 DNA_PORT . . . 67 SUSPEND_SYNC. . . 68 POST_CRC_INTERNAL . . . 69

5

:

コ ン フ ィ ギ ュ レーシ ョ ンの詳細

コ ン フ ィ ギ ュ レーシ ョ ン ピ ン. . . 71 コ ン フ ィ ギ ュ レーシ ョ ンデー タ フ ァ イ ル . . . 72 ビ ッ ト ス ト リ ームの概要. . . 73 同期 ワー ド/バス幅の自動検出 . . . .74 PROM フ ァ イ ルの生成. . . 74 シ リ アルデ イ ジーチ ェーン用の PROM フ ァ イ ル. . . .74 SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン用の PROM フ ァ イ ル. . . .75 SPI/BPI コ ン フ ィ ギ ュ レーシ ョ ン用の PROM フ ァ イ ル. . . .75 ビ ッ ト の ス ワ ッ プ. . . .75 パ ラ レルバ ス のビ ッ ト 順序. . . .76 コ ン フ ィ ギ ュ レーシ ョ ンの遅延 . . . .77 コ ン フ ィ ギ ュ レーシ ョ ンシーケ ン ス . . . 78 設定 (手順 1 - 3) . . . .78 デバ イ ス の電源投入 (手順 1). . . .78 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の ク リ ア (手順 2、 初期化) . . . .80 モー ド ピ ンのサンプル (手順 3) . . . .81 ビ ッ ト ス ト リ ームの読み込み (手順 4 - 7) . . . .81 同期化 (手順 4) . . . .81 デバ イ ス ID の確認 (手順 5) . . . .82 コ ン フ ィ ギ ュ レーシ ョ ンデー タ フ レームの読み込み (手順 6) . . . .83

CRC (Cyclic Redundancy Check) (手順 7) . . . .83

ス タ ー ト ア ッ プ (手順 8) . . . .84 ビ ッ ト ス ト リ ーム暗号化. . . 86 AES の概要 . . . .86 暗号化 さ れた ビ ッ ト ス ト リ ームの作成 . . . .87 暗号化キーの読み込み . . . .87 暗号化 さ れた ビ ッ ト ス ト リ ームの読み込み. . . .87 ビ ッ ト ス ト リ ームの暗号化お よ び内部 コ ン フ ィ ギ ュ レーシ ョ ン ア ク セ スポー ト (ICAP) . . .88

(5)

コ ン フ ィ ギ ュ レーシ ョ ンパケ ッ ト . . . 89 パケ ッ ト タ イ プ. . . .90 タ イ プ 1 パケ ッ ト . . . .90 タ イ プ 2 パケ ッ ト . . . .90 コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ . . . .92 CRC レ ジ ス タ . . . .93 FAR_MAJ レ ジ ス タ . . . .93 FAR_MIN レ ジ ス タ . . . .93 FDRI レ ジ ス タ. . . .94 FDRO レ ジ ス タ. . . .94 MASK レ ジ ス タ . . . .94 EYE_MASK レ ジ ス タ . . . .94 LOUT レ ジ ス タ. . . .94 CBC_REG レ ジ ス タ . . . .94 IDCODE レ ジ ス タ. . . .94 CSBO レ ジ ス タ. . . .94 コ マ ン ド レ ジ ス タ (CMD) . . . .94 コ ン ト ロ ールレ ジ ス タ 0 (CTL) . . . .95 ス テー タ ス レ ジ ス タ (STAT) . . . .97 コ ン フ ィ ギ ュ レーシ ョ ンオプシ ョ ン レ ジ ス タ (COR1 お よ び COR2) . . . .98 サ スペン ド レ ジ ス タ (PWRDN_REG) . . . .99 フ レーム長レ ジ ス タ. . . .99 マルチフ レーム書 き 込みレ ジ ス タ. . . .99 コ ン フ ィ ギ ュ レーシ ョ ン ウ ォ ッ チ ド ッ グ タ イ マレ ジ ス タ. . . .100 HC_OPT_REG レ ジ ス タ. . . .100 GENERAL レ ジ ス タ 1、2、3、4、 お よ び 5 . . . .100 MODE レ ジ ス タ . . . .101 CCLK_FREQ レ ジ ス タ. . . .102 PU_GWE レ ジ ス タ . . . .102 PU_GTS レ ジ ス タ. . . .102 ブー ト 履歴ス テー タ ス レ ジ ス タ (BOOTSTS) . . . .103 SEU_OPT レ ジ ス タ. . . .103 ビ ッ ト ス ト リ ームの構造. . . 104 デフ ォ ル ト の初期 コ ン フ ィ ギ ュ レーシ ョ ンプ ロ セ ス . . . 105

Spartan-6 FPGA のデバ イ ス ID (デバ イ ス DNA) . . . 105

ID 値. . . .105 動作. . . .105 ID メ モ リ の仕様. . . .107 ID を長 く す る. . . .107 デバ イ ス ID への JTAG ア ク セ ス . . . .108 デバ イ ス ID への iMPACT ア ク セ ス . . . .108

6

:

リ ー ド バ ッ ク および コ ン フ ィ ギ ュ レーシ ョ ンの検証

リ ー ド バ ッ ク の準備 . . . 109 リ ー ド バ ッ ク コ マ ン ド シーケ ン ス. . . 109 SelectMAP イ ン タ ーフ ェ イ ス を介 し た コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ へのア ク セ ス. . .110 コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ の読み出 し (SelectMAP) . . . .110 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の読み出 し (SelectMAP) . . . .112 JTAG イ ン タ ーフ ェ イ ス を介 し た コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ へのア ク セ ス . . . .114 コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ の読み出 し (JTAG) . . . .115 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ の読み出 し (IEEE Std 1149.1 JTAG) . . . .117 リ ー ド バ ッ クデー タ の検証 . . . 122

7

:

リ コ ン フ ィ ギ ュ レーシ ョ ンおよびマルチ ブー ト

(6)

IPROG リ コ ン フ ィ ギ ュ レーシ ョ ン . . . 128 ICAP_SPARTAN6 を使用 し た再起動 . . . .128 フ ォールバ ッ ク お よ び IPROG リ コ ン フ ィ ギ ュ レーシ ョ ン用ス テー タ ス レ ジ ス タ . . . 129 ウ ォ ッ チ ド ッ グ タ イ マ . . . 129 マルチブー ト イ メ ージ間に必要なデー タ 空間. . . 130 フ ラ ッ シ ュ セ ク タ 、 ブ ロ ッ ク 、 ページ境界 . . . .130 DCM_WAIT に必要な メ モ リ 空間. . . .130

8

:

リ ー ド バ ッ ク

CRC

POST_CRC 制約. . . 132 POST_CRC . . . .133 POST_CRC_INIT_FLAG . . . .133 POST_CRC_ACTION . . . .133 POST_CRC_FREQ . . . .134 構文例. . . .134 POST_CRC . . . .134 POST_CRC_INIT_FLAG . . . .134 POST_CRC_ACTION . . . .134 POST_CRC_FREQ . . . .134

9

:

ア ド バン ス

コ ン フ ィ ギ ュ レーシ ョ ン

イ ン タ ー フ ェ イ ス

シ リ アルデ イ ジーチ ェ ーン. . . 135 混在シ リ アルデ イ ジーチ ェ ーン . . . 136 シ リ アルデ イ ジーチ ェーンのガ イ ド ラ イ ンお よ びデザ イ ン考察 . . . .137 ス タ ー ト ア ッ プシーケ ン ス (GTS) . . . .137 ア ク テ ィ ブ DONE ド ラ イ バ. . . .137 すべての DONE ピ ン を接続. . . .137 DONE ピ ンの立ち上が り 時間. . . .137 ビ ッ ト ス ト リ ームのフ ォーマ ッ ト. . . .137 ギ ャ ン グ シ リ アルコ ン フ ィ ギ ュ レーシ ョ ン . . . 138 複数デバ イ ス の SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン. . . 140 パ ラ レルデ イ ジーチ ェ ーン. . . 142 ギ ャ ン グ SelectMAP . . . 143 SelectMAP の ABORT . . . 144 コ ン フ ィ ギ ュ レーシ ョ ンの ABORT シーケ ン ス . . . .144 リ ー ド バ ッ ク の ABORT シーケ ン ス. . . .145 ABORT ス テー タ ス ワー ド . . . .146 ABORT 後に コ ン フ ィ ギ ュ レーシ ョ ン ま たは リ ー ド バ ッ ク を再開 . . . .146 SelectMAP リ コ ン フ ィ ギ ュ レーシ ョ ン . . . 147

10

:

ア ド バン ス

JTAG

コ ン フ ィ ギ ュ レーシ ョ ン

概要 . . . 149 JTAG コ ン フ ィ ギ ュ レーシ ョ ン/ リ ー ド バ ッ ク . . . 150 TAP コ ン ト ロ ー ラ お よ びアーキ テ ク チ ャ . . . .150 バ ウ ン ダ リ ス キ ャ ンアーキ テ ク チ ャ . . . .153 バ ウ ン ダ リ ス キ ャ ンレ ジ ス タ . . . .153 命令レ ジ ス タ . . . .154 BYPASS レ ジ ス タ. . . .156 ID (IDCODE) レ ジ ス タ. . . .156 JTAG コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ . . . .156 USERCODE レ ジ ス タ. . . .156

(7)
(8)
(9)

こ のユーザー ガ イ ド では、Spartan®-6 FPGA の コ ン フ ィ ギ ュ レーシ ョ ンについて説明 し ます。

Spartan-6 FPGA フ ァ ミ リ の最新版ユーザーガ イ ド は、 ザ イ リ ン ク ス の Web サ イ ト http://japan.xilinx.com/products/spartan6/index.htmか ら 入手 し て く だ さ い。

マニ ュ アルの内容

こ のマニ ュ アルは次の章か ら 構成 さ れてい ます。 • 第 1 章 「 コ ン フ ィ ギ ュ レーシ ョ ンの概要」 • 第 2 章 「 コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス の基本」 • 第 3 章 「バ ウ ン ダ リ ス キ ャ ンお よ び JTAG コ ン フ ィ ギ ュ レーシ ョ ン」 • 第 4 章 「ユーザープ リ ミ テ ィ ブ」 • 第 5 章 「 コ ン フ ィ ギ ュ レーシ ョ ンの詳細」 • 第 6 章 「 リ ー ド バ ッ ク お よ び コ ン フ ィ ギ ュ レーシ ョ ンの検証」 • 第 7 章 「 リ コ ン フ ィ ギ ュ レーシ ョ ンお よ びマルチブー ト 」 • 第 8 章 「 リ ー ド バ ッ ク CRC」 • 第 9 章 「ア ド バン ス コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス」 • 第 10 章 「ア ド バン ス JTAG コ ン フ ィ ギ ュ レーシ ョ ン」

その他の資料

Spartan-6 フ ァ ミ リ に関す る その他の情報は、 http://japan.xilinx.com/support/documentation/spartan-6.htmか ら 次を参照 し て く だ さ い。 • 『Spartan-6 フ ァ ミ リ 概要』 Spartan-6 フ ァ ミ リ の機能 と デバ イ ス の概要を示 し ます。 • 『Spartan-6 FPGA デー タ シー ト : DC 特性お よ びス イ ッ チ特性』 Spartan-6 フ ァ ミ リ の DC 特性お よ びス イ ッ チ特性が記載 さ れてい ます。 • 『Spartan-6 FPGA パ ッ ケージお よ びピ ン配置の仕様』 デバ イ ス/ピ ンの組み合わせ と 最大 I/O 数、 ピ ン定義、 ピ ン配置図、 機械的図面、 熱仕様が記載 さ れてい ます。

• 『Spartan-6 FPGA SelectIO リ ソ ース ユーザーガ イ ド 』

(10)

• 『Spartan-6 FPGA ク ロ ッ ク リ ソ ース ユーザーガ イ ド 』

DCM お よ び PLL を含む、Spartan-6 デバ イ ス の ク ロ ッ ク リ ソ ース について説明 し ます。 • 『Spartan-6 FPGA ブ ロ ッ ク RAM リ ソ ースユーザーガ イ ド 』

Spartan-6 デバ イ ス のブ ロ ッ ク RAM の機能について説明 し ます。 • 『Spartan-6 FPGA コ ン フ ィ ギ ャ ブル ロ ジ ッ ク ブ ロ ッ ク ユーザーガ イ ド 』 すべての Spartan-6 デバ イ ス で使用可能な コ ン フ ィ ギ ャ ブル ロ ジ ッ ク ブ ロ ッ ク (CLB) の機能 について説明 し ます。 • 『Spartan-6 FPGA メ モ リ コ ン ト ロ ー ラユーザーガ イ ド 』 Spartan-6 FPGA の メ モ リ コ ン ト ロ ー ラブ ロ ッ ク について説明 し ます。メ モ リ コ ン ト ロ ー ラブ ロ ッ ク は、Spartan-6 FPGA を よ く 使用 さ れ る メ モ リ 規格に接続す る 際の イ ン タ ーフ ェ イ ス を 簡略化す る エンベデ ッ ド マルチポー ト メ モ リ コ ン ト ロ ー ラ です。 • 『Spartan-6 FPGA GTP ト ラ ン シーバユーザーガ イ ド 』 Spartan-6 LXT FPGA で使用可能な GTP ト ラ ン シーバについて説明 し ます。 • 『Spartan-6 FPGA DSP48A1 ス ラ イ スユーザーガ イ ド 』

すべての Spartan-6 デバ イ ス に含まれてい る DSP48A1 ス ラ イ ス について説明 し ます。 • 『Spartan-6 FPGA PCB デザ イ ナーズガ イ ド 』 Spartan-6 デバ イ ス の PCB 設計について、PCB お よ び イ ン タ ーフ ェ イ ス レベルでの設計上の 考察事項に焦点を あ てて説明 し ます。

その他の リ ソ ース

その他の資料は、 次のザ イ リ ン ク ス Web サ イ ト か ら 参照で き ます。 http://japan.xilinx.com/support/documentation/index.htm シ リ コ ン、 ソ フ ト ウ ェ ア、IP に関す る ア ンサーデー タ ベース を検索 し た り 、 テ ク ニ カルサポー ト の ウ ェ ブケース を開 く 場合は、 次の Web サ イ ト にア ク セ ス し て く だ さ い。 http://japan.xilinx.com/support

(11)

コ ン フ ィ ギ ュ レーシ ョ ンの概要

概要

Spartan®-6 FPGA デバ イ ス は、アプ リ ケーシ ョ ン固有の コ ン フ ィ ギ ュ レーシ ョ ンデー タ 、ビ ッ ト ス ト リ ーム を内部 メ モ リ に読み込んで コ ン フ ィ ギ ュ レーシ ョ ン さ れます。Spartan-6 FPGA は外部不 揮発性 メ モ リ デバ イ ス か ら 読み込むか、マ イ ク ロ プ ロ セ ッ サ、DSP プ ロ セ ッ サ、マ イ ク ロ コ ン ト ロ ー ラ 、PC、 ボー ド テ ス タ な ど か ら 読み込んで、 コ ン フ ィ ギ ュ レーシ ョ ンで き ます。 汎用 コ ン フ ィ ギ ュ レーシ ョ ン デー タ パ ス は常に 2 つあ り ます。1 つはシ リ アルデー タ パス で、デバ イ ス ピ ン要件を最 小限に抑え る ために使用 さ れます。 も う 1 つは 8 ビ ッ ト ま たは 16 ビ ッ ト 幅のデー タ パ ス で、 高速 パフ ォーマ ン ス、業界標準の イ ン タ ーフ ェ イ スへの高速ア ク セ ス に使用 さ れ、プ ロ セ ッ サや x8 ま た は x16 パ ラ レルフ ラ ッ シ ュ メ モ リ な ど の外部デー タ ソ ース に理想的です。 プ ロ セ ッ サやプ ロ セ ッ サペ リ フ ェ ラ ルの よ う に、 ザ イ リ ン ク ス FPGA は、 イ ン シ ス テ ム、 オンデ ィ マ ン ド で、 何度で も 再プ ロ グ ラ ムで き ます。 ザ イ リ ン ク ス FPGA コ ン フ ィ ギ ュ レーシ ョ ン デー タ は COMS コ ン フ ィ ギ ュ レーシ ョ ン ラ ッ チ (CCL) に格納 さ れ る ので、 パ ワ ーダ ウ ン後に リ コ ン フ ィ ギ ュ レーシ ョ ンす る 必要があ り ます。 ビ ッ ト ス ト リ ームは、 専用の コ ン フ ィ ギ ュ レーシ ョ ン ピ ンか ら デバ イ ス に読み込まれます。 こ れ ら の コ ン フ ィ ギ ュ レーシ ョ ン ピ ンは、次の よ う な コ ン フ ィ ギ ュ レーシ ョ ンモー ド で イ ン タ ーフ ェ イ ス と し て機能 し ます。 • JTAG コ ン フ ィ ギ ュ レーシ ョ ン モー ド • マス タシ リ アル/SPI コ ン フ ィ ギ ュ レーシ ョ ンモー ド (x1、x2、x4) • ス レーブシ リ アルコ ン フ ィ ギ ュ レーシ ョ ンモー ド • マス タ SelectMAP/BPI コ ン フ ィ ギ ュ レーシ ョ ンモー ド (x8、x16) • ス レーブ SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン モー ド (x8、x16) コ ン フ ィ ギ ュ レーシ ョ ンモー ド の詳細は、第 2 章 「 コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス の基 本」で説明 し てい ます。 コ ン フ ィ ギ ュ レーシ ョ ン モー ド は、 専用モー ド 入力ピ ン M[1:0] で レベルを設定 し て選択 し ます。 M1 お よ び M0 モー ド ピ ンは、 一定 し た DC 電圧レベルで設定す る 必要があ り ます。 こ れは、 プル ア ッ プ ま たはプルダ ウ ン抵抗 (2.4kΩ) を使用す る か、グ ラ ン ド ま たは VCCO_2 に直接接続 し て設定 し ます。こ れ ら のモー ド ピ ンは、コ ン フ ィ ギ ュ レーシ ョ ン中ま たはその前には ト グルで き ませんが、 コ ン フ ィ ギ ュ レーシ ョ ン後は ト グルで き ます。 モー ド ピ ンの設定オプシ ョ ンは、第 2 章 「 コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス の基本」を参照 し て く だ さ い。 「マ ス タ 」 お よ び 「ス レーブ」 と い う 表現は、 コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク (CCLK) の方向を 示 し ます。

(12)

• マス タ コ ン フ ィ ギ ュ レーシ ョ ン モー ド では、Spartan-6 デバ イ スは内部オシ レー タ か ら の CCLK を駆動す る か (デフ ォ ル ト)、ま たは外部マ ス タ ク ロ ッ ク ソ ース の GCLK0/USERCCLK を駆動 し ます (オプシ ョ ン)。周波数を選択す る には、BitGen -g ConfigRateオプシ ョ ン を内 部オシ レー タ に対 し て使用 し ます。詳細は、『 コ マ ン ド ラ イ ンツールユーザーガ イ ド 』(UG628) の BitGen のセ ク シ ョ ン を参照 し て く だ さ い。 次の条件のいずれかが満た さ れない限 り 、 オシ レー タ は コ ン フ ィ ギ ュ レーシ ョ ン後オ フ にな り ます。 ♦ SEU 検出が使用 さ れてい ます。 ♦ STARTUP プ リ ミ テ ィ ブで CFGMCLK が接続 さ れてい ます。 ♦ 内部ク ロ ッ ク ソ ース が SUSPEND モー ド で選択 さ れてい ます (オシ レー タ は WAKWUP シーケ ン ス中にのみオン)。 ♦ 暗号化が有効になっています。 CCLK は多目的ピ ンです。 コ ン フ ィ ギ ュ レーシ ョ ン前はオンチ ッ プのプルア ッ プ抵抗があ り ませ ん。 コ ン フ ィ ギ ュ レーシ ョ ン後は PERSIST が使用 さ れていない限 り 、 ユーザーピ ン と な り ます。 • ス レーブコ ン フ ィ ギ ュ レーシ ョ ン モー ド の と き 、CCLK は入力です。 JTAG/バ ウ ン ダ リ ス キ ャ ン コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ スは、 モー ド ピ ンの設定に関 わ ら ず、 常に使用可能です。

設計に関する考慮事項

効率の良いシ ス テ ム を構築す る には、ど の FPGA コ ン フ ィ ギ ュ レーシ ョ ンモー ド がシ ス テ ム要件に 合っ てい る のか を検討す る こ と が重要です。 いずれの コ ン フ ィ ギ ュ レーシ ョ ン モー ド で も 、 あ る FPGA ピ ン を専用ピ ン と し て使用 し 、 一時的に コ ン フ ィ ギ ュ レーシ ョ ン中にのみほかの ピ ン を使用 す る こ と が可能です。 コ ン フ ィ ギ ュ レーシ ョ ンが完了す る と 、 専用ピ ン でない ピ ンは汎用ピ ン と な り ます。第 5 章 「 コ ン フ ィ ギ ュ レーシ ョ ンの詳細」 を参照 し て く だ さ い。 同様に、 ど の コ ン フ ィ ギ ュ レーシ ョ ンモー ド を使用す る かに よ り 、FPGA I/O バン ク の電圧が制限 さ れ る こ と も あ り ます。コ ン フ ィ ギ ュ レーシ ョ ンオプシ ョ ンはい く つかあ り 、柔軟性があ り ますが、 各シ ス テ ムに最適な ソ リ ュ ーシ ョ ンがあ る のが一般的です。 最善の コ ン フ ィ ギ ュ レーシ ョ ン オプ シ ョ ン を選択す る 際には、 全体的な設定、 ス ピー ド 、 コ ス ト 、 複雑 さ と いっ た点を考慮す る 必要が あ り ます。

FPGA

コ ン フ ィ ギ ュ レーシ ョ ン

デー タ

ソ ース

Spartan-6 FPGA は非常に柔軟性に富んでい ます。 こ の FPGA を使用 し て PROM か ら の コ ン フ ィ ギ ュ レーシ ョ ンデー タ を自動的に読み込むか、 ま たはプ ロ セ ッ サ、 マ イ ク ロ コ ン ト ロ ー ラ な ど の外 部 イ ン テ リ ジ ェ ン ト デバ イ ス を使用 し 、 コ ン フ ィ ギ ュ レーシ ョ ンデー タ を FPGA にダ ウ ン ロ ー ド で き ます。

マス タ

モー ド

FPGA の自動 コ ン フ ィ ギ ュ レーシ ョ ンモー ド は 「マ ス タ 」 モー ド と 呼ばれてい ます (図 1-1参照)。 マ ス タ モー ド は、 さ ま ざ ま な タ イ プの不揮発性 メ モ リ を使用 し 、FPGA コ ン フ ィ ギ ュ レーシ ョ ン デー タ を格納 し ます。 マ ス タ モー ド では、 通常、 コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ームは FPGA と 同 じ ボー ド 上の外部不揮発性 メ モ リ にあ り ます。FPGA に よ り 、CCLK と 呼ばれ る コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク 信号 (内部オシ レー タ か ら の ク ロ ッ ク 信号、 ま たは外部マ ス タ ク ロ ッ ク ソ ース の GCLK0/USERCCLK) が提供 さ れ、 ま た コ ン フ ィ ギ ュ レーシ ョ ンプ ロ セ ス が制御 さ れ ます。

(13)

ス レーブ

モー ド

FPGA の コ ン フ ィ ギ ュ レーシ ョ ン を外部か ら 制御す る コ ン フ ィ ギ ュ レーシ ョ ン モー ド は通常 「ス レーブ」 モー ド と 呼ばれ、 シ リ アルま たはバ イ ト 幅デー タ パ ス で も 使用で き ます。 ス レーブモー ド では、 プ ロ セ ッ サ、 マ イ ク ロ コ ン ト ロ ー ラ 、DSP プ ロ セ ッ サ、 テ ス タ な ど の外部 イ ン テ リ ジ ェ ン ト デバ イ ス を使用 し 、FPGA に コ ン フ ィ ギ ュ レーシ ョ ンデー タ を ダ ウ ン ロ ー ド し ます (図 1-2参照)。 こ の ス レーブコ ン フ ィ ギ ュ レーシ ョ ンの利点は、FPGA ビ ッ ト ス ト リ ーム を シ ス テ ムのほぼど こ に で も 格納で き る こ と です。 た と えば、 フ ラ ッ シ ュ 、 オン ボー ド な ど ホ ス ト プ ロ セ ッ サの コ ー ド と と も に格納で き ます。 ま た、 ハー ド デ ィ ス ク に保存 し た り 、 ネ ッ ト ワー ク やブ リ ッ ジ接続を使用 し て ネ ッ ト ワー ク 上に保存 し てお く こ と も で き ます。

X-Ref Target - Figure 1-1

図 1-1 : マス タ コ ン フ ィ ギ ュ レーシ ョ ン モー ド

DATA[7:0]

D[7:0]

FCS_B

䊌䊤䊧䊦㩷㪥㪦㪩㩷 䊐䊤䉾䉲䊠

D0

CLK

DIN

CCLK

Spartan-6 FPGA

DATA_IN

DATA_OUT

MOSI

CSO_B

㪪㪧㪠㩷䉲䊥䉝䊦 䊐䊤䉾䉲䊠 䉱䉟䊥䊮䉪䉴 䊒䊤䉾䊃䊐䉤䊷䊛㩷 䊐䊤䉾䉲䊠㩷㪧㪩㪦㪤

SELECT

CLOCK

DIN

CCLK

ADDR[n:0]

CE#

A[n:0]

OE#

WE#

FOE_B

D[7:0]

CLK

D[7:0]

CCLK

Spartan-6 FPGA

(1) ザイリンクスXCFxxP プラットフォーム フラッシュ PROM 8 8/16 㩿㪸㪀㩷䊙䉴䉺㩷䉲䊥䉝䊦㪆㪪㪧㪠㩷䊝䊷䊄 㩿㪹㪀㩷䊙䉴䉺㩷䉲䊥䉝䊦㪆㪪㪧㪠㩷䊝䊷䊄㩷 㩿㪪㪧㪠㩷䊐䊤䉾䉲䊠૶↪㪀㩷 㩿㪺㪀㩷䊙䉴䉺㩷㪪㪼㫃㪼㪺㫋㪤㪘㪧㪆㪙㪧㪠㩷䊝䊷䊄㩷 㩿䊌䊤䊧䊦㩷㪥㪦㪩㩷䊐䊤䉾䉲䊠㪀 㩿㪻㪀㩷䊙䉴䉺㩷㪪㪼㫃㪼㪺㫋㪤㪘㪧㪆㪙㪧㪠㩷䊝䊷䊄 n+1 UG380_c1_01_060109

XCFxxS

XCFxxP

XCFxxP

DATA[15:8]

D[15:8]

䉲䊥䉝䊦

䊋䉟䊃䊪䉟䊄

BYTE#

LDC

Spartan-6 FPGA

Spartan-6 FPGA

FWE_B

䊜䊝㩷㪑㩷䈠䈱ઁ䈱㩷㪪㫇㪸㫉㫋㪸㫅㪄㪍㩷㪝㪧㪞㪘㩷䈲䊙䉴䉺㩷㪪㪼㫃㪼㪺㫋㪤㪘㪧㩷䊝䊷䊄䈪㩷㪯㪚㪝㫏㫏㪧㩷䊒䊤䉾䊃䊐䉤䊷䊛㩷䊐䊤䉾䉲䊠㩷㪧㪩㪦㪤㩷䉕䉰䊘䊷䊃 䈚䈩䈇䉁䈜䇯䊙䉴䉺㩷䉲䊥䉝䊦䈫䊙䉴䉺㩷㪪㪧㪠㩷䉮䊮䊐䉞䉩䊠䊧䊷䉲䊢䊮㩷䊝䊷䊄䈲䉁䈫䉄䉌䉏䈩䈇䈩䇮ห䈛䊝䊷䊄ㆬᛯ䉕૶↪䇮 䊙䉴䉺㩷㪪㪼㫃㪼㪺㫋㪤㪘㪧㩷䈫䊙䉴䉺㩷㪙㪧㪠㩷䉮䊮䊐䉞䉩䊠䊧䊷䉲䊢䊮㩷䊝䊷䊄䉅䉁䈫䉄䉌䉏䈩䈇䈩䇮ห䈛䊝䊷䊄ㆬᛯ䉕૶↪䈚䉁䈜䇯

(14)

ス レーブ SelectMAP モー ド は、 単純な x8 ま たは x16 ビ ッ ト 幅のプ ロ セ ッ サペ リ フ ェ ラ ル イ ン タ ーフ ェ イ ス で、チ ッ プセ レ ク ト 入力 と 読み出 し/書 き 込み制御入力を含みます。ス レーブシ リ アル モー ド は非常に単純なモー ド で、 ク ロ ッ ク と シ リ アルデー タ 入力か ら 成っ てい ます。

JTAG

接続

4 本の信号線で構成 さ れ る JTAG イ ン タ ーフ ェ イ ス は、 オン ボー ド テ ス タ と デバ ッ グ用ハー ド ウ ェ アに よ く 使用 さ れます。こ こ に挙げ る Spartan-6 FPGA のザ イ リ ン ク スプ ロ グ ラ ミ ン グ ケーブルで は、 プ ロ ト タ イ プ ダ ウ ン ロ ー ド お よ びデバ ッ グ用に JTAG イ ン タ ーフ ェ イ ス が使用 さ れてい ます。 アプ リ ケーシ ョ ンで ど の コ ン フ ィ ギ ュ レーシ ョ ンモー ド が設定 さ れていて も 、簡単なデザ イ ン開発 用に JTAG コ ン フ ィ ギ ュ レーシ ョ ンパ ス を含めてお く のが よ いで し ょ う 。 ま た、第 3 章 「バ ウ ン ダ リ ス キ ャ ンお よ び JTAG コ ン フ ィ ギ ュ レーシ ョ ン」 も 参照 し て く だ さ い。 • プ ラ ッ ト フ ォームケーブル USB II http://japan.xilinx.com/products/devkits/HW-USB-II-G.htm • パ ラ レルケーブル IV http://japan.xilinx.com/products/devkits/HW-PC4.htm

基本的な コ ン フ ィ ギ ュ レーシ ョ ン

ソ リ ュ ーシ ョ ン

基本オプシ ョ ンには、 ザ イ リ ン ク ス プ ラ ッ ト フ ォーム フ ラ ッ シ ュ PROM ま たはサー ド パーテ ィ SPI PROM を使用 し たマ ス タ シ リ アルモー ド があ り ます (こ の文章、後で確認の こ と)。こ れ ら の ソ リ ュ ーシ ョ ンでは、最少数の FPGA ピ ン を使用 し 、柔軟性のあ る I/O 電圧がサポー ト さ れてい ます。 ま た SPI PROM はザ イ リ ン ク ス JTAG ベース のプ ロ グ ラ ミ ン グ ソ フ ト ウ ェ アであ る iMPACT で X-Ref Target - Figure 1-2

図 1-2 : ス レーブ コ ン フ ィ ギ ュ レーシ ョ ン モー ド

DIN

CCLK

SERIAL_DATA

CLOCK

Spartan-6

FPGA

䊒䊨䉶䉾䉰䇮 䊙䉟䉪䊨䉮䊮䊃䊨䊷䊤

TDI

TMS

DATA_OUT

CLOCK

Spartan-6

FPGA

㪡㪫㪘㪞㩷䊁䉴䉺䇮㩷 䊒䊨䉶䉾䉰䇮 䊙䉟䉪䊨䉮䊮䊃䊨䊷䊤

TCK

TDO

MODE_SELECT

DATA_IN

D[7:0]

RDWR_B

CCLK

CSI_B

DATA[7:0]

SELECT

READ/WRITE

CLOCK

Spartan-6

FPGA

䊒䊨䉶䉾䉰䇮 䊙䉟䉪䊨䉮䊮䊃䊨䊷䊤 㩿㪺㪀㩷䉴䊧䊷䊑㩷㪪㪼㫃㪼㪺㫋㪤㪘㪧㩷䊝䊷䊄 㩿㪸㪀㩷䉴䊧䊷䊑㩷䉲䊥䉝䊦㩷䊝䊷䊄

㩿㪹㪀㩷㪡㪫㪘㪞㩷

UG380_c1_02_051109

䉲䊥䉝䊦

SelectMAP

8,16

D[15:8]

DATA[15:8]

(15)

サポー ト さ れてい ます。 詳細は、 http://japan.xilinx.com/support/documentation/sw_manuals/xilinx11/isehelp_start.htm の 「デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ンお よ びプ ロ グ ラ ム」か ら リ ン ク さ れてい る iMPACT ヘルプ を参照 し て く だ さ い。

低 コ ス ト を重視 し た ソ リ ュ ーシ ョ ン

低コ ス ト を重視 し たオプシ ョ ンはアプ リ ケーシ ョ ンに よ っ て異な り ます。 • シ ス テムに未使用の不揮発性 メ モ リ が既にあ る場合、ビ ッ ト ス ト リ ームイ メ ージ を シ ス テ ム メ モ リ に格納で き ます。 ま た、 ハー ド ド ラ イ ブに保存 し た り 、 ネ ッ ト ワー ク 上か ら ダ ウ ン ロ ー ド す る こ と も で き ます。 その場合、 ス レーブ SelectMAP モー ド 、 ス レーブシ リ アルモー ド 、 ま たは JTAG のいずれかのダ ウ ン ロ ー ド モー ド を考慮 し て く だ さ い。 • 不揮発性 メ モ リ がアプ リ ケーシ ョ ンで必要な場合、 メ モ リ は統合可能です。た と えば、FPGA コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ームはボー ド のプ ロ セ ッ サコ ー ド と 共に格納で き ます。使 用す る プ ロ セ ッ サが FPGA にエンベデ ッ ド さ れてい る MicroBlaze の場合、FPGA コ ン フ ィ ギ ュ レーシ ョ ン デー タ と MicroBlaze プ ロ セ ッ サの コ ー ド を同 じ 不揮発性 メ モ リ デバ イ ス に 格納で き ます。

• Spartan-6 FPGA には SPI シ リ アル フ ラ ッ シ ュ メ モ リ お よ びパ ラ レル NOR フ ラ ッ シ ュ メ モ リ か ら 直接 コ ン フ ィ ギ ュ レーシ ョ ンす る オプシ ョ ン も あ り ます。詳細は、第 2 章 「 コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス の基本」を参照 し て く だ さ い。ま た 『Indirect Programming of BPI PROMs with Virtex-5 FPGAs』 (XAPP973) お よ び 『Indirect Programming of SPI Serial Flash PROMs with Spartan-3A FPGAs』 (XAPP974) も 参照 し て く だ さ い。

高速度を重視 し たオプ シ ョ ン

アプ リ ケーシ ョ ンに よ っ ては、 ロ ジ ッ ク を短時間で動作可能に し なければな り ません。FPGA コ ン フ ィ ギ ュ レーシ ョ ン モー ド お よ び方法には高速度の実現に適 し た も のがあ り ます。 コ ン フ ィ ギ ュ レーシ ョ ン時間には初期化時間 も 含まれていて、 こ の時間はデバ イ ス のサ イ ズお よ び コ ン フ ィ ギ ュ レーシ ョ ン ロ ジ ッ ク の速度に依存 し ます。 た と えば、4 ビ ッ ト デー タ バ ス を使用 し 33MHz で コ ン フ ィ ギ ュ レーシ ョ ンす る 場合、Spartan-6 XC6SLX16 FPGA が 3.7Mb の コ ン フ ィ ギ ュ レーシ ョ ン デー タ を受信す る には約 28ms 要 し ます。 • 同一ク ロ ッ ク周波数で比較する と 、パ ラ レルコ ン フ ィ ギ ュ レーシ ョ ン モー ド は複数ビ ッ ト が 1 度にプ ロ グ ラ ム さ れ る ためシ リ アルモー ド よ り も 高速です。 • デイ ジーチ ェ ーンにあ る 複数の FPGA を コ ン フ ィ ギ ュ レーシ ョ ンす る よ り 、FPGA を 1 つ コ ン フ ィ ギ ュ レーシ ョ ンす る ほ う が高速です。 コ ン フ ィ ギ ュ レーシ ョ ン速度が重要 と な る 複数の FPGA を使用 し たデザ イ ンでは、各 FPGA を個別にパ ラ レルに コ ン フ ィ ギ ュ レーシ ョ ンす る 必 要があ り ます。 • マス タモー ド では、FPGA は CCLK コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク 信号を内部生成 し ます。 デフ ォ ル ト では、CCLK 周波数は低いのですが、ConfigRate ビ ッ ト ス ト リ ームオプシ ョ ン を使 用す る と 高 く す る こ と がで き ます。 サポー ト さ れてい る 最大 CCLK 周波数は、接続 さ れてい る 不揮発性 メ モ リ の読み出 し 速度に依存 し ます。 高速 メ モ リ を使用す る と コ ン フ ィ ギ ュ レーシ ョ ン ス ピー ド が速 く な り ます。FPGA の CCLK 出力周波数はプ ロ セ ス、電圧、お よ び温度に よ っ て変動 し ます。 コ ン フ ィ ギ ュ レーシ ョ ン レー ト の最速値は、CCLK 周波数の最小値に よ っ て変 わ り ます (Spartan-6FPGA を参照)。ボー ド 上に外部 ク ロ ッ ク があ る 場合、ザ イ リ ン ク ス プ ラ ッ ト フ ォーム フ ラ ッ シ ュ を使用 し なが ら ス レーブモー ド で FPGA を コ ン フ ィ ギ ュ レーシ ョ ンす る こ と も 可能です。

(16)

• ボー ド 上に外部ク ロ ッ ク があ る場合、マス タ モー ド の コ ン フ ィ ギ ュ レーシ ョ ン中に外部 ク ロ ッ ク ソ ース を接続 し て使用す る 機能があ り ます。 ザ イ リ ン ク ス プ ラ ッ ト フ ォーム フ ラ ッ シ ュ を 使用 し なが ら 、 外部 ク ロ ッ ク ソ ース を使用 し て FPGA を ス レーブモー ド で コ ン フ ィ ギ ュ レー シ ョ ンす る こ と も 可能です。 コ ン フ ィ ギ ュ レーシ ョ ン中に外部 ク ロ ッ ク ソ ース を使用す る こ と に よ っ て、マ ス タモー ド で も ス レーブモー ド で も コ ン フ ィ ギ ュ レーシ ョ ン時間を予測可能にで き ます。

PCI

リ ン クのア ク テ ィ ベー ト 要件への適合

PCI™ ロ ーカルバ ス仕様の リ ビ ジ ョ ン 3.0 ( 「PCI 仕様」 ) では、 さ ま ざ ま な電源お よ び リ セ ッ ト の 要件が定義 さ れてい ます。FPGA の イ ンプ リ メ ン テーシ ョ ンで、 長期にわた り 信頼性お よ びボー ド の相互運用性を保つために こ れ ら の要件を満たす必要があ る と 同時に、 新た なデザ イ ンの課題に直 面す る こ と にな り ます。PCI アプ リ ケーシ ョ ンでの リ ン ク ア ク テ ィ ベーシ ョ ン時間を考慮 し 、FPGA で指定時間内に コ ン フ ィ ギ ュ レーシ ョ ンが完了で き る よ う にす る こ と が重要です。 サー ド パーテ ィ の フ ラ ッ シ ュデバ イ ス には こ う し た タ イ ミ ン グ要件が満た さ れていない も のが多 く あ り ます。

単独お よび複数の コ ン フ ィ ギ ュ レーシ ョ ン

イ メ ージ

FPGA アプ リ ケーシ ョ ンでは、シ ス テ ムに電源投入 さ れ る と き にのみ FPGA が ロ ー ド さ れ る のが一 般的です。 し か し 、アプ リ ケーシ ョ ンに よ っ ては、シ ス テ ム動作中に、フ ァ ン ク シ ョ ン ご と に異な る FPGA ビ ッ ト ス ト リ ーム を使用 し て FPGA を複数回 ロ ー ド す る 必要のあ る も のがあ り ます。 た と えば、 電源投 入自己診断テ ス ト を イ ンプ リ メ ン ト す る ためのビ ッ ト ス ト リ ーム を FPGA に ロ ー ド 後、最終アプ リ ケーシ ョ ンで 2 つ目のビ ッ ト ス ト リ ーム を ロ ー ド で き ます。 多 く のテ ス ト 装置アプ リ ケーシ ョ ン で は、ハー ド ウ ェ ア補助に よ る テ ス ト を実行す る ため異な る ビ ッ ト ス ト リ ーム を FPGA に ロ ー ド し ま す。 こ の方法だ と 1 つの小型 FPGA で大型の ASIC やゲー ト ア レ イ デバ イ ス と 同等の機能を イ ン プ リ メ ン ト で き ます。 詳細は、第 7 章 「 リ コ ン フ ィ ギ ュ レーシ ョ ンお よ びマルチブー ト 」 を参照 し て く だ さ い。

マルチ ブー ト

/

セー フ

ア ッ プデー ト

高度な アプ リ ケーシ ョ ンでは複数のビ ッ ト ス ト リ ーム イ メ ージ を格納で き ます。イ メ ージの 1 つを ユーザーアプ リ ケーシ ョ ンでア ッ プグ レー ド し 、リ アル タ イ ム シ ス テ ムのア ッ プグ レー ド を実行可 能です。ブー ト エ ラ ーが発生 し た場合は、初期 イ メ ージか ら シ ス テ ム を回復 さ せ る こ と も で き ます。

I/O

電圧要件

選択 し た FPGA コ ン フ ィ ギ ュ レーシ ョ ン モー ド に よ り 、 FPGA アプ リ ケーシ ョ ンに対す る 制約が 生 じ ます。 特に コ ン フ ィ ギ ュ レーシ ョ ンバン ク に使用可能な I/O 電圧が制限 さ れます。 た と えば、SPI や BPI モー ド では、通常 3.3V (それ よ り も 低い電圧 も 許容可能) デバ イ ス であ る サー ド パーテ ィ の フ ラ ッ シ ュ メ モ リ コ ン ポーネ ン ト が使用 さ れます。つま り 、 メ モ リ に接続 さ れてい る バン ク の I/O 電圧は入力電圧に準 じ てい る 必要があ り ます。

不揮発性デー タ の格納

FPGA アプ リ ケーシ ョ ンに よ っ てはデー タ が外部不揮発性 メ モ リ に格納 さ れます。Spartan-6 FPGA

(17)

• Spartan-6 FPGA は外部シ リ アル (SPI) ま たはパ ラ レルフ ラ ッ シ ュ PROM (BPI) か ら 直接 コ ン フ ィ ギ ュ レーシ ョ ンで き ます。 • フ ラ ッ シ ュ PROM のア ド レ ス、 デー タ 、 制御ピ ンは、 コ ン フ ィ ギ ュ レーシ ョ ン中 FPGA か ら 借用で き ます。 コ ン フ ィ ギ ュ レーシ ョ ン後、 こ れ ら のピ ンは元に戻 さ れて FPGA の読み出 し/書 き 込み用に使用 さ れます。 • FPGA コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ームお よ びアプ リ ケーシ ョ ンの不揮発性デー タ で同 じ PROM を共有で き 、 全体的なシ ス テ ム コ ス ト を削減 し ます。

コ ン フ ィ ギ ュ レーシ ョ ン中の

FPGA I/O

ピ ンの設定

FPGA ピ ンの中には、 コ ン フ ィ ギ ュ レーシ ョ ン中専用プルア ッ プ抵抗が付け ら れ る も のがあ り ま す。 し か し 、 ユーザー I/O ピ ンの場合は、 オプシ ョ ン で コ ン フ ィ ギ ュ レーシ ョ ン中に有効にで き る プルア ッ プ抵抗を付け る こ と がで き る も のがほ と ん ど です。コ ン フ ィ ギ ュ レーシ ョ ン中、1 つの制御 ラ イ ンでプルア ッ プ抵抗を有効にす る か ど う かが決定 さ れます。こ の ピ ン名は HSWAPEN です (表 1-1参照)。 表 1-1 : Spartan-6 FPGA コ ン フ ィ ギ ュ レーシ ョ ン ピ ンの終端 ピ ン コ ン フ ィ ギ ュ レーシ ョ ン前 コ ン フ ィ ギ ュ レーシ ョ ン後 HSWAPEN = 0 (有効) HSWAPEN = 1 (無効) CCLK VCCO_2 にプルア ッ プ 終端な し ユーザー I/O D15 - D0 VCCO_2 にプルア ッ プ 終端な し ユーザー I/O CSO_B VCCO_2 にプルア ッ プ 終端な し ユーザー I/O A25 - A0(1) VCCO_1 にプルア ッ プ 終端な し ユーザー I/O

SCP7 - SCP0 VCCO_0 にプルア ッ プ 終端な し ユーザー I/O DOUT/BUSY VCCO_1 にプルア ッ プ 終端な し ユーザー I/O HSWAPEN VCCO_0 にプルア ッ プ VCCO_0 にプルア ッ プ ユーザー I/O

PROGRAM_B VCCO_2 にプルア ッ プ VCCO_2 にプルア ッ プ BitGen -g ProgPin(2)

DONE VCCO_2 にプルア ッ プ VCCO_2 にプルア ッ プ BitGen -g DonePin(2) -g DriveDone INIT_B VCCO_2 にプルア ッ プ VCCO_2 にプルア ッ プ ユーザー I/O

TDI VCCAUXにプルア ッ プ VCCAUXにプルア ッ プ BitGen -g TdiPin(2) TMS VCCAUXにプルア ッ プ VCCAUXにプルア ッ プ BitGen -g TmsPin(2) TCK VCCAUXにプルア ッ プ VCCAUXにプルア ッ プ BitGen -g TckPin(2) TDO VCCAUXにプルア ッ プ VCCAUXにプルア ッ プ BitGen -g TdoPin(2) M1、M0 VCCO_2 にプルア ッ プ VCCO_2 にプルア ッ プ ユーザー I/O

FCS_B VCCO_1 にプルア ッ プ 終端な し ユーザー I/O FOE_B VCCO_1 にプルア ッ プ 終端な し ユーザー I/O FWE_B VCCO_1 にプルア ッ プ 終端な し ユーザー I/O MOSI/CSI_B VCCO_2 にプルア ッ プ 終端な し ユーザー I/O RDWR_B VCCO_2 にプルア ッ プ 終端な し ユーザー I/O AWAKE VCCO_1 にプルア ッ プ 終端な し ユーザー I/O

(18)

信号レベルを フ ロ ー ト さ せてお く と CMOS ロ ジ ッ ク シ ス テ ム で問題にな り ます。 シ ス テ ムのほか の ロ ジ ッ ク コ ン ポーネ ン ト には FPGA か ら の有効な入力レベルが必要な場合があ り ます。内部プル ア ッ プ抵抗は各ピ ン を ロ ジ ッ ク High の レベルに し ます。一般的に、FPGA に信号を駆動す る デバ イ ス は こ のプルア ッ プ抵抗を超え ます。同様に、適切に調整 さ れた外部プルダ ウ ン抵抗を使用 し て個々 の ピ ン をプルダ ウ ンす る こ と がで き ます。 ホ ッ ト ス ワ ッ プやホ ッ ト 挿入アプ リ ケーシ ョ ン では、 プルア ッ プ抵抗で I/O の電源レールへの電流 パス を提供 し ます。 プルア ッ プ抵抗をオ フ にす る と こ のパ スは無効にな り ます。 し か し 、 外部プル ア ッ プ ま たはプルダ ウ ン抵抗が各 I/O ピ ンに必要な場合があ り ます。

集積度の異な る

FPGA

への移行

Spartan-6 FPGA のパ ッ ケージの フ ッ ト プ リ ン ト お よ びピ ン配置は、 同一フ ァ ミ リ 内の集積度の異 な る パーツ間で移行で き る よ う 設計 さ れてい ます。 FPGA アプ リ ケーシ ョ ンではほかの不揮発性デー タ を フ ラ ッ シ ュ メ モ リ に格納で き る ため、大型の ス ト レージデバ イ ス が必要です。 異な る 集積度のデバ イ ス間のデザ イ ン移行をサポー ト す る には、 タ ーゲ ッ ト パ ッ ケージの最大デバ イ ス に対応で き る だけの十分な コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ が必要です。 た と えば、Spartan-6 XC6SLX9 デバ イ ス を使用 し てい る 場合、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ に 2.7Mb が必要です。 Spartan-6 XC6SLX16 デバ イ ス の場合は、3.7Mb 必要です。 ダ ウ ン ロ ー ド で コ ン フ ィ ギ ュ レーシ ョ ンす る アプ リ ケーシ ョ ン では、圧縮 さ れていない FPGA ビ ッ ト ス ト リ ームの最大予測量に十分な メ モ リ 容量が必要です。 FPGA が自動的に コ ン フ ィ ギ ュ レーシ ョ ンす る アプ リ ケーシ ョ ンでは、PROM の フ ッ ト プ リ ン ト お よ びそれに対応 し た FPGA コ ン フ ィ ギ ュ レーシ ョ ン モー ド を使用 し て移行を簡単にす る こ と がで き ます。 た と えば、 ザ イ リ ン ク スプ ラ ッ ト フ ォーム フ ラ ッ シ ュ では、XCFxxS シ リ アルフ ァ ミ リ を使用 し て 1Mb か ら 4Mb へ、XCFxxP パ ラ レルフ ァ ミ リ を使用 し て 8Mb か ら 32Mb へ移行で き ます。1 つのアプ リ ケーシ ョ ン で フ ラ ッ シ ュ が 2 つ使用 さ れてい る 場合は、プ ラ ッ ト フ ォームフ ラ ッ シ ュ の フ ァ ミ リ ご と に 2 つの異な る フ ッ ト プ リ ン ト を使用す る 必要があ り ます。XCFxxP フ ラ ッ シ ュ フ ァ ミ リ には 1.8V コ ア電源電圧入力が必要で、XCFxxS には 3.3V が必要です。ど ち ら の フ ァ ミ リ で も 3.3V I/O が提供 さ れます。 SPI シ リ アルフ ラ ッ シ ュベン ダーでは広範囲にわた る 移行がサポー ト さ れてい ますが、マルチパ ッ ケージフ ッ ト プ リ ン ト が必要です。 た と えば、Atmel DataFlash SPI シ リ アルフ ラ ッ シ ュ フ ァ ミ リ では、JEDEC お よ び EIAJ 版の 8 ピ ン SOIC パ ッ ケージ と 8 コ ネ ク タ の CASON パ ッ ケージに対 応す る 1 つの フ ッ ト プ リ ン ト を使用 し 、1Mb ~ 64Mb の範囲で移行がサポー ト さ れてい ます。

Numonyx SPI シ リ アルフ ラ ッ シ ュ は、8 ピ ンお よ び 16 ピ ン を組み合わせた SOIC フ ッ ト プ リ ン ト を使用 し 、 ま た複数の SPI フ ラ ッ シ ュベン ダーのデバ イ ス と の互換性 も あ り ます。 HDC VCCO_1 にプルア ッ プ 終端な し ユーザー I/O LDC VCCO_1 にプルア ッ プ 終端な し ユーザー I/O メ モ : 1. A24/A25 は、XC6SLX75/T デバ イ ス、 高集積度、FG676 お よ びそれ よ り も 大 き いパ ッ ケージのバン ク 5 にあ り 、VCCO_5 にプルア ッ プ さ れます。 2. BitGen のオプシ ョ ン を設定す る と 、 対応 し た ピ ンの終端が コ ン フ ィ ギ ュ レーシ ョ ン さ れます。 オプシ ョ ン を設定 し ない場合はプルア ッ プにな り ます。 詳細は、 『 コ マ ン ド ラ イ ンツールユーザーガ イ ド 』 (UG628) の BitGen のセ ク シ ョ ン を参照 し て く だ さ い。 表 1-1 : Spartan-6 FPGA コ ン フ ィ ギ ュ レーシ ョ ン ピ ンの終端 (続き) ピ ン コ ン フ ィ ギ ュ レーシ ョ ン前 コ ン フ ィ ギ ュ レーシ ョ ン後 HSWAPEN = 0 (有効) HSWAPEN = 1 (無効)

(19)

同様に、 パ ラ レル フ ラ ッ シ ュ で も 、 一般的な、 複数のベン ダーが提供す る パ ッ ケージフ ッ ト プ リ ン ト で広範囲にわた る 集積度に対応で き ます。 こ こ では例を挙げて概説す る に と ど ま り ますが、 詳細 は使用 し てい る フ ラ ッ シ ュ の仕様を参照 し て く だ さ い。

製品寿命

アプ リ ケーシ ョ ンの製品寿命を考慮す る 必要があ り ます。 一般的に、 ベン ダーか ら 提供 さ れてい る メ モ リ はザ イ リ ン ク ス のプ ラ ッ ト フ ォーム フ ラ ッ シ ュ PROM と 比較す る と 製品寿命が短 く な っ て い ます。 た と えば、5 年以上製造 さ れ る 産業向けアプ リ ケーシ ョ ン を設計す る 場合、ザ イ リ ン ク ス の プ ラ ッ ト フ ォームフ ラ ッ シ ュ PROM の製品寿命の方が長 く な り ます。 製品寿命の短い も のであれば、 コ ス ト 面や種類の多 さ か ら 見て、 ベン ダーが提供す る メ モ リ を使用 し た方が利点が多い場合 も あ り ます。

不正な複製か ら

FPGA

ビ ッ ト ス ト リ ームの保護するには

プ ロ セ ッ サコ ー ド と 同様に、FPGA の機能を定義す る ビ ッ ト ス ト リ ームは電源投入中に FPGA に読 み込まれます。 結果 と し て、 他企業が こ のビ ッ ト ス ト リ ーム を入手 し てデザ イ ン を不正に複製 し て し ま う こ と も あ り 得ます。 プロ セッ サと 同様、FPGA ビ ッ ト ス ト リ ーム と その中に埋め込ま れている IP コ ア を 保護する 方法は いく つかあ り ま す。最も 効果的な手法は「 認証」 と 呼ばれ、第 5 章「 コ ン フ ィ ギュ レ ーショ ン の詳細」 で詳細に説明し ま す。 さ ら に、Spartan-6 デバイ ス の大型タ イ プ 2 つには、 デザイ ン のセキ ュ リ ティ 保護を 強化する ためのオン チッ プの高度暗号化基準であ る AES の復号化ロ ジッ ク があ り ま す。

同 じ コ ン フ ィ ギ ュ レーシ ョ ン

ビ ッ ト ス ト リ ームを複数の

FPGA

に読み込む

通常、 シ ス テ ム内では 1 つの FPGA に 1 つの コ ン フ ィ ギ ュ レーシ ョ ンビ ッ ト ス ト リ ームがあ り ま す。 コ ン フ ィ ギ ュ レーシ ョ ンデ イ ジーチ ェーン を利用 し て 1 つの コ ン フ ィ ギ ュ レーシ ョ ン PROM で複数の異な る FPGA ビ ッ ト ス ト リ ーム イ メ ージ を共有す る こ と がで き ます。 し か し 、アプ リ ケー シ ョ ンにあ る すべての FPGA のパーツ番号 と ビ ッ ト ス ト リ ームが同 じ 場合、 ビ ッ ト ス ト リ ーム イ メ ージは 1 つだけで構い ません。 ま た、 同 じ ビ ッ ト ス ト リ ーム を、 複数の同一 FPGA に読み込む こ と がで き る 連結 コ ン フ ィ ギ ュ レーシ ョ ン も あ り ます。

コ ン フ ィ ギ ュ レーシ ョ ン を決定する要素

シ ス テ ムに最適な コ ン フ ィ ギ ュ レーシ ョ ン ソ リ ュ ーシ ョ ン を決定す る 要素は多 く 、いろいろ な詳細 を考慮す る 必要があ り ます。 適切な コ ン フ ィ ギ ュ レーシ ョ ン を選択 し てお く と 、 後で対処 し な く て はな ら ない問題が少な く な り ます。 専用 コ ン フ ィ ギ ュ レーシ ョ ン ピ ン と コ ン フ ィ ギ ュ レーシ ョ ン後に再使用可能な ピ ンの違いを理解 し てお く 必要があ り ます。 詳細は、 コ ン フ ィ ギ ュ レーシ ョ ンの詳細に関す る セ ク シ ョ ン を参照 し て く だ さ い。 デー タ フ ァ イ ルフ ォーマ ッ ト お よ びビ ッ ト ス ト リ ームのサ イ ズ も 考慮す る 必要があ り ます。ビ ッ ト ス ト リ ームのサ イ ズはデバ イ スサ イ ズに よ っ て異な り 、 ビ ッ ト ス ト リ ーム生成の フ ォーマ ッ ト に も 複数の種類があ り ます。 FPGA は コ ン フ ィ ギ ュ レーシ ョ ン中、 内部 メ モ リ の初期化か ら I/O のア ク テ ィ ベー ト ま で、 あ る 一 定のシーケ ン ス を実行 し ます。 こ のプ ロ セ スは コ ン フ ィ ギ ュ レーシ ョ ン シーケ ン ス と 呼ばれます。 電源投入か ら FPGA コ ン フ ィ ギ ュ レーシ ョ ンの完了 と ス タ ー ト ア ッ プ ま での タ イ ミ ン グ を理解す る には、 こ のシーケ ン ス と サブシーケ ン ス の理解が必要です。

(20)

Spartan-6 LX75、LX75T、LX100、LX100T、LX150、 お よ び LX150T の FPGA には、AES 暗号 化な ど の高度なセキ ュ リ テ ィ 保護機能があ り ます。 こ の機能は、 ビ ッ ト ス ト リ ームの保護に非常に 有益です。

(21)

コ ン フ ィ ギ ュ レーシ ョ ン

イ ン タ ー フ ェ イ ス

の基本

本章では、Spartan®-6 FPGA デバ イ ス で最 も 頻繁に使用 さ れ る コ ン フ ィ ギ ュ レーシ ョ ン ソ リ ュ ー シ ョ ンについて説明 し ます。 い く つかの手法を挙げ、 適切な接続、 終端、 信号定義、 基本的な タ イ ミ ン グについて説明 し ます。第 9 章 「ア ド バン ス コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス」では、 エ ラ ー回復の詳細や、 こ こ で簡単に ま と めた も のを さ ら に詳細に説明 し てい ます。

Spartan-6 デバ イ ス では、Extended Spartan-3A フ ァ ミ リ でサポー ト さ れてい る コ ン フ ィ ギ ュ レー シ ョ ンモー ド がすべてサポー ト さ れてい ます。 ただ し 、Extended Spartan-3A フ ァ ミ リ には、 コ ン フ ィ ギ ュ レーシ ョ ン モー ド を定義す る モー ド ピ ンが M[2:0] と 3 つあ り ますが、Spartan-6 デバ イ ス では M[1:0] の 2 つのみです。 モー ド ピ ンの説明は、表 2-1を参照 し て く だ さ い。 注意 : ビ ッ ト ス ト リ ームに、1 のみが後続す る 同期 ワー ド を含め る こ と はで き ません。 こ の状 態が発生す る と デバ イ ス に損傷を与え る 可能性があ り ます。 不揮発性 メ モ リ のプ ロ グ ラ ムや消 去の際は注意 し て く だ さ い。 可能な限 り 、 同期 ワー ド が最後にプ ロ グ ラ ム さ れ る よ う 、 ビ ッ ト ス ト リ ーム を逆にプ ロ グ ラ ム し て く だ さ い。 同様に、 メ モ リ を消去す る 際は、 同期 ワー ド を含 む箇所を最初に消去 し て く だ さ い。 こ う し た予防措置を と っ てお く と 、FPGA に こ の タ イ プ の同期 ワー ド が読み込まれず、 コ ン フ ィ ギ ュ レーシ ョ ン ス テー ト に入っ て も 無効な ビ ッ ト ス ト リ ームが読み込まれな く な り ます。 表 2-1 : Spartan-6 FPGA コ ン フ ィ ギ ュ レーシ ョ ン モー ド コ ン フ ィ ギ ュ レーシ ョ ン モー ド M[1:0] バス幅 CCLK の方向 マ ス タ シ リ アル/SPI 01 1、2、4(1) 出力 マ ス タ SelectMAP/BPI(2) 00 8、16 出力 JTAG(3) xx 1 入力 (TCK) ス レーブ SelectMAP(2) 10 8、16 入力 ス レーブシ リ アル(4) 11 1 入力 メ モ : 1. デ ュ アルお よ び ク ワ ッ ド SPI モー ド を使用 し ます。 2. パ ラ レ ルコ ン フ ィ ギ ュ レーシ ョ ンモー ド のバ ス は、 コ ン フ ィ ギ ュ レーシ ョ ンロ ジ ッ ク に よ っ て自動的に 検出 さ れます。 3. Spartan-6 デバ イ ス には、 モー ド ピ ンの設定にかかわ ら ず FPGA で常に使用可能な、4 本の信号線で構成 さ れ る JTAG (IEEE Std 1149.1) ポー ト も あ り ます。 4. デフ ォ ル ト 設定は、 モー ド ピ ンの内部プルア ッ プ終端に よ り ます。

(22)

JTAG

イ ン タ ー フ ェ イ ス

JTAG 用の特定モー ド はあ り ませんが、 デバ イ ス に電源が投入 さ れ る ご と に使用可能な コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス と し て JTAG イ ン タ ーフ ェ イ ス があ り ます。 詳細は、第 3 章 「バ ウ ン ダ リ ス キ ャ ンお よ び JTAG コ ン フ ィ ギ ュ レーシ ョ ン」 を参照 し て く だ さ い。

(23)

シ リ アル

コ ン フ ィ ギ ュ レーシ ョ ン

イ ン タ ー フ ェ イ ス

シ リ アルコ ン フ ィ ギ ュ レーシ ョ ン モー ド の場合、CCLK の 1 サ イ ク ルで 1 コ ン フ ィ ギ ュ レーシ ョ ンビ ッ ト を読み込み、FPGA を コ ン フ ィ ギ ュ レーシ ョ ン し ます。 • マス タシ リ アルモー ド では、CCLK は出力です。 • ス レーブシ リ アルモー ド では、CCLK は入力です。 シ リ アル コ ン フ ィ ギ ュ レーシ ョ ンのシ ミ ュ レーシ ョ ン モデルがあ り ます。 詳細は、 『合成/シ ミ ュ レーシ ョ ン デザ イ ンガ イ ド 』 (UG626) を参照 し て く だ さ い。 図 2-1に、Spartan-6 の基本的なシ リ アルコ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス を示 し ます。 シ リ アルモー ド で FPGA を コ ン フ ィ ギ ュ レーシ ョ ンす る には次の 4 つのモー ド があ り ます。 • マス タシ リ アル コ ン フ ィ ギ ュ レーシ ョ ン ♦ 一般的なセ ッ ト ア ッ プにはプ ラ ッ ト フ ォーム フ ラ ッ シ ュ XCFxxS な ど の PROM が含ま れます。 • ス レーブシ リ アルコ ン フ ィ ギ ュ レーシ ョ ン ♦ 一般的なセ ッ ト ア ッ プにはデータおよびク ロ ッ ク を提供するプ ロ セ ッ サが含まれます。 • シ リ アルデ イ ジーチ ェーン コ ン フ ィ ギ ュ レーシ ョ ン ♦ PROM ま たはプ ロ セ ッ サか ら 異な る イ メ ージ を使用 し 複数の FPGA がシ リ アルに コ ン フ ィ ギ ュ レーシ ョ ン さ れます (第 9 章 「ア ド バン ス コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス」 を参照)。 • ギ ャ ン グシ リ アルコ ン フ ィ ギ ュ レーシ ョ ン ♦ PROM ま たはプ ロ セ ッ サか ら 同 じ イ メ ージ を使用 し 複数の FPGA がパ ラ レルに コ ン フ ィ ギ ュ レーシ ョ ン さ れます (第 9 章 「ア ド バン ス コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス」 を参照)。 本章ではマ ス タ お よ びス レーブコ ン フ ィ ギ ュ レーシ ョ ンが説明 さ れてい ます。デ イ ジーチ ェーンお よ びギ ャ ン グ コ ン フ ィ ギ ュ レーシ ョ ンについては第 9 章 「ア ド バン ス コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。

X-Ref Target - Figure 2-1

図 2-1 : Spartan-6 FPGA シ リ アルコ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ー フ ェ イ ス DOUT DONE CCLK PROGRAM_B INIT_B DIN M[1:0] UG380_c2_01_042909

(24)

表 2-2では、 シ リ アル コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス について説明 し ます。 表 2-2 : Spartan-6 FPGA シ リ アル コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ー フ ェ イ ス ピ ン ピ ン名 タ イ プ 専用/多目的 説明 M[1:0] 入力 多目的 モー ド ピ ンは、 コ ン フ ィ ギ ュ レーシ ョ ンモー ド を決定 し ます (表 2-1 参照)。 CCLK 入力ま たは 出力 多目的 JTAG を除 く 、 すべての コ ン フ ィ ギ ュ レーシ ョ ン モー ド の コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク ソ ース (62 ページの 「設計に関す る 考慮事 項」参照)。 DIN 入力 多目的 シ リ アルコ ン フ ィ ギ ュ レーシ ョ ンデー タ 入力で、CCLK の立ち上が り エ ッ ジに同期 し ます。 DOUT 出力 多目的 デ イ ジーチ ェーンの下位デバ イ ス のシ リ アルデー タ 出力。CCLK の 立ち下が り エ ッ ジでデー タ を供給 し ます。 DONE 双方向、 オープン ド レ イ ン、 ア ク テ ィ ブ 専用 コ ン フ ィ ギ ュ レーシ ョ ンの完了を示すア ク テ ィ ブ High の信号。 0 = FPGA コ ン フ ィ ギ ュ レーシ ョ ン未完了 1 = FPGA コ ン フ ィ ギ ュ レーシ ョ ン完了 詳細は、 『 コ マ ン ド ラ イ ン ツール ユーザー ガ イ ド 』 (UG628) の BitGen のセ ク シ ョ ン を参照 し て く だ さ い。 INIT_B 入力ま たは出力、 オープン ド レ イ ン 多目的 モー ド ピ ンがサンプル さ れ る 前、INIT_B は入力で Low に保持す る こ と に よ っ て コ ン フ ィ ギ ュ レーシ ョ ン を遅延 さ せ る こ と がで き ます。 モード ピ ン のサン プル後は、オープンド レ イ ン のアク ティ ブ Low 出力 になり 、 コ ン フ ィ ギュ レ ーショ ン 中の CRC エラ ーの有無を 示し ま す。 0 = CRC エ ラ ー 1 = CRC エ ラ ーな し SEU 検出有効にな っ てい る 場合、 リ ー ド バ ッ ク CRC エ ラ ーが検出 さ れ る と 、 オプシ ョ ン と し て Low に駆動 さ れます。 PROGRAM_B 入力 専用 ア ク テ ィ ブ Low の非同期フルチ ッ プ リ セ ッ ト 。

(25)

マス タ

シ リ アル

図 2-2に示す よ う に、 マ ス タ シ リ アルモー ド では、 ザ イ リ ン ク ス のプ ラ ッ ト フ ォーム フ ラ ッ シ ュ PROM か ら FPGA を コ ン フ ィ ギ ュ レーシ ョ ンで き ます。 次は、図 2-2に関す る 注記です。 1. 内部ピ ン終端お よ び HSWAPEN の影響を受け る ピ ンについては、17 ページの表 1-1を参照 し て く だ さ い。 2. デ イ ジーチ ェーン コ ン フ ィ ギ ュ レーシ ョ ンモー ド の場合、DOUT はダ ウ ン ス ト リ ーム FPGA の DIN に接続す る 必要があ り ます。 3. CCLK ネ ッ ト にはテブナン並列終端が必要です。 詳細は、54 ページの 「 コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク (CCLK) のボー ド レ イ ア ウ ト 」を参照 し て く だ さ い。

X-Ref Target - Figure 2-2

図 2-2 : マス タ シ リ アルモー ド の コ ン フ ィ ギ ュ レーシ ョ ン

Platform Flash

XCFxxS

UG380_c2_02_051209 VCCINT DIN D0 VCCINT GND MOSI CSO_B DOUT CCLK HSWAPEN VCCO_0 VCCO_1 INIT_B CLK TMS TCK TDI CE OE/RESET VCCO VCCO_2 M1 M0 TMS TDO TCK TDI VCCAUX VCCAUX PROGRAM_B DONE GND VCCJ CF CEO TDO PROGRAM_B VREF TMS TCK TDO TDI N.C. N.C. 1 14 (JTAG Interface)

Xilinx Cable Header

Spartan-6

FPGA

VCCO_2 VCCO_2 4.7kΩ VCCO_2 VCCO_2 VCCAUX VCCO_2 VCCAUX VCCO 4.7kΩ VCCO_0 VCCO_1 VCCO_2 330Ω

(26)

4. マ ス タ シ リ アルお よ びマ ス タ SPI はど ち ら も 同 じ モー ド ピ ンか ら 有効にな り ます。 こ のため

SPI 制御ピ ンであ る CSO_B お よ び MOSI は コ ン フ ィ ギ ュ レーシ ョ ン中に ト グル し ます。

5. Spartan-6 FPGA の VCCO_2 お よ びプ ラ ッ ト フ ォームフ ラ ッ シ ュ PROM の VCCOは同 じ 電圧 であ る 必要があ り ます (2.5V ま たは 3.3V)。

6. DONE ピ ン は、デフ ォ ルト では、内部プルアッ プ抵抗のあ る オープンド レ イ ン 出力です。追加の 外部プルア ッ プ抵抗の使用を推奨し ます。DONE ピ ンには、BitGen の-g DriveDoneオプシ ョ

ン を使用し て有効にする こ と がで き る 、 プ ロ グ ラ ム可能なア ク テ ィ ブな ド ラ イ バがあ り ます。 7. INIT_B ピ ンは双方向、オープン ド レ イ ンピ ンです。外部プルア ッ プ抵抗の使用を推奨 し ます。 8. BitGen ス タ ー ト ア ッ プ ク ロ ッ ク は、シ リ アルコ ン フ ィ ギ ュ レーシ ョ ンの CCLK に設定す る 必 要があ り ます。 こ れは ソ フ ト ウ ェ アに よ り デフ ォ ル ト で設定 さ れます。詳細は、『 コ マ ン ド ラ イ ンツールユーザーガ イ ド 』 (UG628) を参照 し て く だ さ い。 9. こ の図の PROM は、1 つま たは複数のザ イ リ ン ク ス PROM を示 し てい ます。全体的に コ ン フ ィ ギ ュ レーシ ョ ン ス ト レージ容量を増やすため、 複数のザ イ リ ン ク ス PROM を カ ス ケー ド 接続 す る こ と がで き ます。 詳細は、 『Platform Flash PROM ユーザーガ イ ド 』 (UG161) を参照 し て

く だ さ い。

10. BIT フ ァ イ ルは、PROM に格納す る 前に PROM フ ァ イ ルに変換す る 必要があ り ます。iMPACT

を使用 し て必要な フ ァ イ ルを生成す る 場合は、74 ページの 「PROM フ ァ イ ルの生成」を参照 し て く だ さ い。

11.一部のザ イ リ ン ク ス PROM では、 リ セ ッ ト ピ ンの極性をプ ロ グ ラ ムで き ます。 こ の設定で PROM を使用す る 場合、RESET を ア ク テ ィ ブ Low に し て く だ さ い。

12.マ ス タシ リ アルモー ド コ ン フ ィ ギ ュ レーシ ョ ンは、プ ラ ッ ト フ ォームフ ラ ッ シ ュ XCFS お よ び XCFP PROM のみに使用で き ます。 13. CSI_B や RDWR_B な ど の未使用の専用 コ ン フ ィ ギ ュ レーシ ョ ン ピ ンは、こ のモー ド ではど の コ ン フ ィ ギ ュ レーシ ョ ン ロ ジ ッ ク に も 接続 さ れないため、 フ ロ ー ト に し てお く か GND に接続 で き ます。CSI_B お よ び RDWR_B は多目的ピ ン です。

ス レーブ

シ リ アル

コ ン フ ィ ギ ュ レーシ ョ ン

ス レーブ シ リ アルコ ン フ ィ ギ ュ レーシ ョ ンは、 通常、 シ リ アルデ イ ジーチ ェーン接続 し た複数デ バ イ ス を コ ン フ ィ ギ ュ レーシ ョ ンす る と き 、ま たは外部マ イ ク ロ プ ロ セ ッ サ/CPLD か ら 単独デバ イ ス を コ ン フ ィ ギ ュ レーシ ョ ンす る と き に使用 し ます (図 2-3参照)。ス レーブシ リ アル コ ン フ ィ ギ ュ レーシ ョ ンで考慮すべ き 点は、CCLK の方向を除いて、マ ス タシ リ アル コ ン フ ィ ギ ュ レーシ ョ ン と 同様です。CCLK はデー タ を提供す る 外部 ク ロ ッ ク ソ ース か ら 駆動す る 必要があ り ます (28 ページ の 「シ リ アルコ ン フ ィ ギ ュ レーシ ョ ンデー タ タ イ ミ ン グ」を参照)。

(27)

次は、図 2-3に関す る 注記です。

1. 内部ピ ン終端お よ び HSWAPEN の影響を受け る ピ ンについては、17 ページの表 1-1を参照 し て く だ さ い。

X-Ref Target - Figure 2-3

図 2-3 : ス レーブ シ リ アルコ ン フ ィ ギ ュ レーシ ョ ン モー ド TDI TDO TMS TCK PROGRAM_B VCCINT VCCAUX VCCO_2 VCCO_1 INIT_B DONE GND HSWAPEN VCCO_0 VCCO_0 4.7 k M1 M0 DOUT 330 DIN CCLK 2.4 k Ω CLOCK SERIAL_OUT PROGRAM_B PROGRAM_B INIT_B DONE VCC GND Configuration Memory Source UG380_c2_03_051209

Spartan-6

FPGA

VCCO_2 VCCO_2 VCCO_1 VCCO_2 VCCAUX VCCO_2 VCCO_2

Microprocessor

or CPLD

1 14

Xilinx Cable Header (JTAG Interface)

VREF TMS TCK TDO TDI N.C. N.C. VCCAUX

Refer to the Notes following this figure for related information.

MOSI CSO_B

(28)

2. デ イ ジーチ ェーン コ ン フ ィ ギ ュ レーシ ョ ンモー ド の場合、DOUT はダ ウ ン ス ト リ ーム FPGA

の DIN に接続す る 必要があ り ます。

3. CCLK ネ ッ ト にはテブナン並列終端が必要です。 詳細については、54 ページの 「 コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク (CCLK) のボー ド レ イ ア ウ ト 」 を参照 し て く だ さ い。

4. DONE ピ ンは、デフ ォル ト では、内部プルア ッ プ抵抗のあ る オープン ド レ イ ン出力です。追加の 外部プルア ッ プ抵抗の使用を推奨し ます。DONE ピ ンには、BitGen の-g DriveDoneオプシ ョ

ン を使用し て有効にする こ と がで き る 、 プ ロ グ ラ ム可能なア ク テ ィ ブな ド ラ イ バがあ り ます。

5. INIT_B ピ ンは双方向、オープン ド レ イ ンピ ンです。外部プルア ッ プ抵抗の使用を推奨 し ます。

6. SPI 制御ピ ンであ る CSO_B お よ び MOSI はシ リ アル コ ン フ ィ ギ ュ レーシ ョ ン中に ト グル し ます。

シ リ アル

コ ン フ ィ ギ ュ レーシ ョ ン

デー タ

タ イ ミ ング

図 2-4に、 ス レーブマ ス タ シ リ アルモー ド の Spartan-6 への、 コ ン フ ィ ギ ュ レーシ ョ ンデー タ の ク ロ ッ ク シーケ ン ス を示 し ます。 次は、図 2-4に関す る 注記です。 1. ビ ッ ト 0 は、最初のバ イ ト の MSB を示 し ます。た と えば、最初のバ イ ト が0xAA (1010_1010) の場合、 ビ ッ ト 0 = 1、 ビ ッ ト 1 = 0、 ビ ッ ト 2 = 1 と な り ます。 2. マ ス タ コ ン フ ィ ギ ュ レーシ ョ ンモー ド の場合、CCLK は矢印が示す よ う に、 モー ド ピ ンのサ ンプ リ ン グが完了す る ま で遷移 し ません。 3. ス レーブ シ リ アルモー ド の場合、CCLK はフ リ ー ラ ン ニ ン グにな り ます。

SelectMAP

コ ン フ ィ ギ ュ レーシ ョ ン

イ ン タ ー フ ェ イ ス

SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス (図 2-5参照) には、Spartan-6 デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン ロ ジ ッ ク に イ ン タ ーフ ェ イ スす る 8 ビ ッ ト ま たは 16 ビ ッ ト の双方向バ ス が あ り 、 コ ン フ ィ ギ ュ レーシ ョ ンお よ び リ ー ド バ ッ ク の両方に使用で き ます。(詳細は、第 6 章 「 リ ー ド バ ッ ク お よ び コ ン フ ィ ギ ュ レーシ ョ ンの検証」 を参照)。 SelectMAP のバ ス幅は自動的に検出 さ れます (74 ページの 「同期 ワー ド/バス幅の自動検出」を参照)。SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン のシ ミ ュ レーシ ョ ン モデルがあ り ます。 詳細は、 『合成/シ ミ ュ レーシ ョ ン デザ イ ン ガ イ ド 』 (UG626) を参照 し て く だ さ い。 X-Ref Target - Figure 2-4

図 2-4 : シ リ アル コ ン フ ィ ギ ュ レーシ ョ ンのク ロ ッ ク シーケ ン ス PROGRAM_B INIT_B CCLK DONE Master DIN

Master CLK Begins Here(2)

Data Bits clocked on Falling Edge of CCLK

UG380_c2_04_042909 BIT 0(1) BIT 1 BIT n BIT n+1

BIT n-63 BIT n-64 Master DOUT /

表  2-2 では、 シ リ アル コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス について説明 し ます。 表  2-2 : Spartan-6 FPGA  シ リ アル コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ー フ ェ イ ス ピ ン ピ ン名 タ イ プ 専用 / 多目的 説明 M[1:0] 入力 多目的 モー ド ピ ンは、 コ ン フ ィ ギ ュ レーシ ョ ン モー ド を決定 し ます  ( 表  2-1 参照 ) 。 CCLK 入力ま たは 出力 多目的
表  2-3 : Spartan-6 FPGA SelectMAP  コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ー フ ェ イ ス ピ ン
表  2-3 : Spartan-6 FPGA SelectMAP  コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ー フ ェ イ ス ピ ン  ( 続き )
図  2-6 :  単一デバイ スのマス タ  SelectMAP  コ ン フ ィ ギ ュ レーシ ョ ン Platform FlashXCFxxP UG380_c2_06_051009VCCINTD[7:0]D[7:0]VCCAUXVCCINTGNDDOUT/BUSYCSO_BCCLKHSWAPENVCCO_0VCCO_1INIT_BFCS_BFWE_BFOE_BLDCA24A25REV_SEL0REV_SEL1A[23:0]CLKTMSTCKTDICEOE/RESETVCCOVCCO_2M1M0TMS
+7

参照

関連したドキュメント

NO WARRANTIES OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING WARRANTIES OF MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE, ARE MADE REGARDING PRODUCTS DESCRIBED OR

information, product features, availability, functionality, or suitability of its products for any particular purpose, nor does onsemi assume any liability arising out of

Amount of Remuneration, etc. The Company does not pay to Directors who concurrently serve as Executive Officer the remuneration paid to Directors. Therefore, “Number of Persons”

The Customs Administration wishing to obtain the prior written consent of the Customs Administration of the other Contracting Party pursuant to paragraph 2 of this Article may,

information, product features, availability, functionality, or suitability of its products for any particular purpose, nor does onsemi assume any liability arising out of

When placing your order, please inform us of any allergies or special dietary requirements that we should be aware of when preparing your

onsemi makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does onsemi assume any liability arising out of

onsemi makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does onsemi assume any liability arising out of