• 検索結果がありません。

UltraScale GTH トランシーバーを使用した SMPTE SDI インターフェイスの実装 (XAPP1248)

N/A
N/A
Protected

Academic year: 2021

シェア "UltraScale GTH トランシーバーを使用した SMPTE SDI インターフェイスの実装 (XAPP1248)"

Copied!
65
0
0

読み込み中.... (全文を見る)

全文

(1)

本資料は表記のバージ ョ ンの英語版を翻訳 し た も ので、内容に相違が生 じ る場合には原文を優先 し ます。 資料によ っ ては英語版の更新に対応し ていない ものがあ り ます。 日本

概要

放送業界向けの映像機器には、 SMPTE (Society of Motion Picture and Television Engineers) SDI (Serial Digital Interface) 規格が 広 く 使用 さ れてい ます。 こ の イ ン タ ーフ ェ イ スは、 放送局ス タ ジオや映像制作会社で使用 さ れてお り 、 非圧縮のデジ タ ル 映像 と 多重音声チ ャ ネルな ど の埋め込まれた補助デー タ を共に伝送 し ます。 UHD-SDI と 総称 さ れ る 6G-SDI および 12-SDI は、 SDI 規格の最新拡張版で、 Ultra HD (超高細精度) ビデオ フ ォーマ ッ ト お よ び高フ レーム レー ト の HD ビデオ フ ォー マ ッ ト を伝送す る ために、 よ り 広い帯域幅を提供 し ます。

ザ イ リ ン ク ス の LogiCORE™ IP SMPTE UHD-SDI は、 デバ イ ス 固有の制御機能を持た ない一般的な UHD-SDI 受信/送信 デー タ パス です。 こ のアプ リ ケーシ ョ ン ノ ー ト では、 完全な UHD-SDI イ ン ターフ ェ イ ス を構築する ために、 UltraScale™ GTH ト ラ ン シーバー と SMPTE UHD-SDI LogicCORE IP を合わせて使用す る ための制御 ロ ジ ッ ク を含むモジ ュ ールを提供

し ます。 ま た、 ザ イ リ ン ク ス UltraScale FPGA KCU105 評価ボー ド を使用する SDI の設計例を紹介 し ます。

は じ めに

ザ イ リ ン ク ス の LogiCORE IP SMPTE UHD-SDI (以下、 UHD-SDI コ ア と い う ) は、 UltraScale GTH ト ラ ン シーバー と 接続す る こ と で、 SMPTE SD-SDI、 HD-SDI、 3G-SDI、 6G-SDI、 お よ び 12G-SDI 規格をサポー ト す る SDI イ ン タ ーフ ェ イ ス を実 装で き ます。 UHD-SDI コ ア と GTH ト ラ ン シーバーを接続 し て完全な SDI イ ン タ ーフ ェ イ ス を実装する には、 ロ ジ ッ ク を 追加す る 必要があ り ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では、 こ の付加的な制御ロ ジ ッ ク と イ ン タ ーフ ェ イ ス ロ ジ ッ ク に ついて説明 し 、 必要 と な る 制御モジ ュ ールお よ び イ ン タ ーフ ェ イ ス モジ ュールを Verilog ソ ース コー ド で提供 し ます。

こ の資料に出て く る SDI と は、 SD-SDI、 HD-SDI、 3G-SDI、 6G-SDI、 お よび 12G-SDI を総称する SMPTE フ ァ ミ リ の イ ン タ ーフ ェ イ ス規格の こ と です。

UltraScale™ GTH ト ラ ン シーバーは、 12G-SDI を含むすべての SDI ビ ッ ト レー ト に対応で き ます。 CPLL の利用に よ っ て ビ ッ ト レー ト が制限 さ れ、 -1 ス ピー ド グ レー ド の場合は 3G-SDI、 -2 および -3 ス ピー ド グ レー ド の場合は 6G-SDI と な り ま す。 ス ピ ー ド グ レ ー ド と パ ッ ケージの各組み合わせでサポー ト さ れ る GTH ト ラ ン シーバーの最大 ラ イ ン レ ー ト は、 『Kintex UltraScaleアーキテ ク チ ャ データ シー ト : DC 特性およ び AC ス イ ッ チ特性』 [参照 16] の「GTH ト ラ ン シーバーの ス イ ッ チ特性」 のセ ク シ ョ ン を参照 し て く だ さ い。 デバ イ ス固有の制御 ロ ジ ッ ク の主な機能は次の と お り です。 • GTH ト ラ ン シーバーの リ セ ッ ト ロ ジ ッ ク • 5 つの SDI 規格を サポー ト す る ために、 GTH RX/TX シ リ アル ク ロ ッ ク 分周器を動的に切 り 換え る 機能

• HD-SDI、 3G-SDI、 6G-SDI、 お よ び 12G-SDI 規格の 2 つの異な る ビ ッ ト レー ト を サポー ト す る ために、 TX の基準 ク ロ ッ ク を動的に切 り 換え る 機能 ° 1.485Gb/s お よ び 1.485/1.001Gb/s (HD-SDI モー ド の場合) ° 2.97Gb/s お よ び 2.97/1.001Gb/s (3G-SDI モー ド の場合) ° 5.94Gb/s お よ び 5.94/1.001Gb/s (6G-SDI モー ド の場合) ° 11.88Gb/s お よ び 11.88/1.001Gb/s (12G-SDI モー ド の場合) • 12G-SDI 規格の 2 つの異な る ビ ッ ト レー ト を サポー ト す る ために、 RX の基準 ク ロ ッ ク を動的に切 り 換え る 機能 ° 11.88Gb/s お よ び 11.88/1.001Gb/s (12G-SDI モー ド の場合) • GTH RXDATA お よ び TXDATA ポー ト 幅を動的に切 り 替え る 機能

° 20 ビ ッ ト RXDATA お よ び TXDATA (SD-SDI、 HD-SDI、 お よ び 3G-SDI モー ド の場合) ° 40 ビ ッ ト RXDATA お よ び TXDATA (6G-SDI お よ び 12G-SDI モー ド の場合)

XAPP1248 (v1.2) 2015 年 8 月 14 日

UltraScale GTH  ト ラ ン シーバーを使用 し

た SMPTE SDI イ ン タ ー フ ェ イ スの実装

著者 : Gilbert Magnaye、 John Snow

(2)

• SD-SDI モー ド の場合にデー タ を回復 さ せ る デー タ リ カバ リ ユニ ッ ト • RX が整数フ レーム レー ト 信号 (1.485Gb/s お よ び 2.97Gb/s な ど の ラ イ ン レー ト ) ま たは分数フ レーム レー ト 信号 (1.485/1.001Gb/s お よ び 2.97/1.001Gbs な ど の ラ イ ン レー ト ) のいずれを受信 し てい る か を判断す る RX ビ ッ ト レー ト 検出機能 こ のアプ リ ケーシ ョ ン ノ ー ト では、GTH ト ラ ン シーバー用制御モジ ュールの イ ン ス タ ン ス、GTH チ ャ ネル イ ン ス タ ン ス、 お よ び UHD-SDI コ アの イ ン ス タ ン ス、 およ びそれ ら に必要な接続を含む ラ ッ パー フ ァ イ ル も 提供 し てい ます。 こ れを利 用す る こ と で、 SDI イ ン タ ーフ ェ イ ス を簡単に構築で き ます。 こ のアプ リ ケーシ ョ ン ノ ー ト で使用 さ れ る用語については、「用語解説」 を参照 し て く だ さ い。図 1 の簡略ブ ロ ッ ク 図で は、 さ ま ざ ま な コ ン ポーネ ン ト を組み合わせて SDI イ ン タ ーフ ェ イ ス を形成 し てい る こ と を示 し てい ます。

• UHD-SDI コ アは、 Vivado® IP カ タ ロ グか ら 利用可能な SMPTE UHD-SDI コ ア を指 し てい ます。

• 制御モジ ュ ール と は、 GTH ト ラ ン シーバーが SMPTE UHD-SDII コ ア を使用し て SDI イ ン タ ーフ ェ イ ス を実装する際 に必要 と な る さ ま ざ ま なデバ イ ス固有の機能を実装す る モジ ュ ールです。 こ のアプ リ ケーシ ョ ン ノ ー ト では、 制御モ ジ ュ ールを ソ ース コー ド 形式で提供 し ます。

• GTH Wizard IP は、 1 つの GTHE3_CHANNEL ト ラ ン シーバー と それに対応 し た制御モジ ュ ールを含む UltraScale Transceiver Wizard モジ ュ ールです。 こ の ラ ッ パーは、 Vivado IP カ タ ロ グか ら 利用可能な UltraScale FPGAs Transceiver Wizard で生成 さ れ ます。

• SDI Wrapper は、 UHD-SDI コ ア、 GTH ウ ィ ザー ド IP、 お よ び制御モジ ュ ールを イ ン ス タ ン シエー ト し て相互接続す る ための ラ ッ パー モジ ュールです。こ のアプ リ ケーシ ョ ン ノ ー ト では、SDI Wrapper を ソ ース コー ド 形式で提供 し ます。 • SDI Wrapper Support モジ ュ ールには、 1 つの GTH ク ワ ッ ド に対 し て SDI Wrapper イ ン ス タ ン ス が 1 つ と

GTHE3_COMMON プ リ ミ テ ィ ブが 1 つ含 ま れて い ま す。 こ の ラ ッ パーは各 ク ワ ッ ド に 1 つ イ ン ス タ ン シ エー ト し 、 QPLL ク ロ ッ ク 、 基準 ク ロ ッ ク 、 ロ ッ ク 出力は、 同 じ ク ワ ッ ド で違 う チ ャ ネルに あ る SDI Wrapper へ接続す る 必要が あ り ます。 SDI アプ リ ケーシ ョ ンで QPLL を使用 し ない場合、 こ の ラ ッ パーは必要あ り ません。 図 1 について説明 し ます。 1. オプシ ョ ンのオーデ ィ オ エンベ ッ ダーは単独コ アであ り 、 UHD-SDI コ アには含まれていません。 ま た、 こ のアプ リ ケーシ ョ ン ノ ー ト では説明 し てい ません。

X-Ref Target - Figure 1

図 1 : 一般的な SDI RX/TX イ ン タ ー フ ェ イ スのブ ロ ッ ク図 2SWLRQDO$XGLR (PEHGGHU  6',:UDSSHU 6037(6', &RUH &RQWURO 0RGXOH ϭϬdžϭϲ ϯϮdžϴ ϯ dysŝĚĞŽ dy^dϯϱϮW/ dy^/DŽĚĞ dyŽŶƚƌŽů Zy^ƚĂƚƵƐ Zy^/DŽĚĞ ϯ Zy^dϯϱϮW/ ZysŝĚĞŽ *7+:L]DUG,3 ƚdžƵƐƌĐůŬ dyůŽĐŬ ϰϬ ƚdžĚĂƚĂ ZĞƐĞƚƐΘŽŶƚƌŽů ^ƚĂƚƵƐ ϰϬ ƌdžĚĂƚĂ ƌdžƵƐƌĐůŬ ZyůŽĐŬ *7+&RPPRQ 5HIHUHQFH&ORFN 6RXUFH ϭϰϴ͘ϱD,nj ϭϰϴ͘ϱͬϭ͘ϬϬϭD,nj &DEOH'ULYHU ^/KƵƚ (4 &DEOH(TXDOL]HU ^//Ŷ

<ŝŶƚĞdžhůƚƌĂƐĐĂůĞ&W'

6',:UDSSHU6XSSRUW ϭϬdžϭϲ ϭϬdžϭϲ ϭϬdžϭϲ ϯϮdžϴ

(3)

機能

UHD-SDI コ アの製品ガ イ ド には、UHD-SDI コ アのすべての機能お よ び コ アでサポー ト さ れ る SMPTE 規格が記載 さ れてい ます。 詳細は、 製品ガ イ ド を参照 し て く だ さ い。 ま た、 製品ガ イ ド では、 さ ま ざ ま な SDI モー ド で動作する コ アの入力/出 力の タ イ ミ ン グ図 も 示 し てい ます。

こ の資料では、 多重化 さ れていない SDI デー タ ス ト リ ーム の こ と を 「基本デー タ ス ト リ ーム」 と 呼びます。 た と えば、 HD-SDI 信号は 2 つの基本デー タ ス ト リ ーム (通常、 Y デー タ ス ト リ ーム と C デー タ ス ト リ ーム) で構成 さ れてお り 、 10 ビ ッ ト の仮想 HD-SDI イ ン タ ーフ ェ イ ス に多重化 さ れます。 同様に、 3G-SDI level A 信号 も 2 つの基本デー タ ス ト リ ーム ( デー タ ス ト リ ーム 1 と データ ス ト リ ーム 2) で構成 さ れてお り 、10 ビ ッ ト の仮想 3G-SDI イ ン タ ーフ ェ イ ス に多重化 さ れ ます。 3G-SDI level B 信号の場合は、 各 HD-SDI 信号に Y データ ス ト リ ーム と C データ ス ト リ ームがあ る 4 つの基本デー タ ス ト リ ームで構成 さ れてお り 、3G-SDI level B イ ン ターフ ェ イ ス に集約 さ れます。 こ の 4 つの基本ス ト リ ームは、10 ビ ッ ト の仮想 3G-SDI イ ン タ ーフ ェ イ ス に多重化 さ れ、 4 ウ ェ イ イ ン タ ー リ ーブ と な り ます。 6G-SDI と 12G-SDI では、 1 つの SDI イ ン タ ーフ ェ イ ス で最大 16 の基本デー タ ス ト リ ーム を イ ン タ ー リ ーブが可能です。 こ の資料では、 UHD-SDI コ ア名 お よ び UHD-SDI ラ ッ パーのポー ト 名で、 こ れ ら のデータ ス ト リ ーム を ds1 ~ ds16 と し てい ます。

UHD-SDI コ アの TX お よ び RX は、 デー タ ス ト リ ーム入力/出力で多重化 さ れていない基本デー タ ス ト リ ーム のみを受信/ 送信 し ます。 デー タ ス ト リ ームの多重化/逆多重化は、 UHD-SDI コ ア内で実行 さ れ、 コ アの外で実行する も のではあ り ま せん。 ただ し 、 SD-SDI は例外です。 ST 259 SD-SDI 規格は、 Y コ ンポーネ ン ト と C コ ン ポーネン ト を両方伝搬する シ ン グ ル データ ス ト リ ーム を定義 し ます。 こ れは、 複数の EAV と SAV が イ ン タ ー リ ーブ さ れていないため、 UHD-SDI コ アで は基本デー タ ス ト リ ーム と 見な さ れます。

UHD-SDI コ アは、 ネ イ テ ィ ブ ビデオ フ ォ ーマ ッ ト と 基本デー タ ス ト リ ーム間のマ ッ ピ ン グ を行い ません。 ユーザー アプ リ ケーシ ョ ンは、 UHD-SDI ト ラ ン ス ミ ッ タ ーへ基本デー タ ス ト リ ーム を送信する 前に、 こ れ ら の ス ト リ ームに対 し て必 要な ビデオ マ ッ ピ ン グ を行い、 その後、 UHD-SDI レ シーバーに よ っ て出力 さ れた基本ス ト リ ームか ら ビデオ イ メ ージ を 再度構築す る 必要があ り ます。 SD-SDI と 単一 リ ン ク HD-SDI 上のすべてのビデオ フ ォーマ ッ ト 、 およ び 3G-SDI level A の 1080p 50、 59.94、 60Hz 4:2:2 YCBCR 10 ビ ッ ト ビデオの場合、 こ れ ら の フ ォ ーマ ッ ト のデー タ ス ト リ ーム と 、 UHD-SDI コ アへ入力ま たは出力 さ れ る 基本デー タ ス ト リ ームに 1 対 1 と な っ てい る ため、 マ ッ ピ ン グは必要あ り ません。 こ れは、 2 つの HD-SDI ビデオ フ ォーマ ッ ト が 1 つの 3G-SDI イ ン タ ーフ ェ イ ス に集約 さ れ るデュ アル ス ト リ ーム モー ド の 3G-SDI level B-DS に も 当 て は ま り ま す。 デ ュ ア ル リ ン ク HD-SDI、 3G-SDI level B-DL、 マ ルチ リ ン ク 3G-SDI、 6G-SDI、 お よ び 12G-SDI の場合、 基本デー タ ス ト リ ーム に対す る ビデオ フ ォ ーマ ッ ト のマ ッ ピ ン グが必要であ り 、 こ れは UHD-SDI コ ア では行われません。

6G-SDI の場合、 UHD-SDI コ アは最大 8 個の基本デー タ ス ト リ ーム を サポー ト し ます。 12G-SDI の場合、 UHD-SDI コ アは 最大 16 個の基本デー タ ス ト リ ーム を サポー ト し ます。 SMPTE 6G-SDI お よ び 12G-SDI のマ ッ ピ ン グに関す る 資料では、 「デー タ ス ト リ ーム」 と い う 用語が、 多重化 さ れたデータ ス ト リ ーム と 多重化 さ れていない (基本) データ ス ト リ ームの両 方に対 し て使用 さ れてい る ため、 各マ ッ ピ ン グ方法で使用 さ れ る 基本デー タ ス ト リ ーム数を判断す る 際は注意が必要で す。 伝送 さ れ る デー タ フ ォーマ ッ ト に よ っ て、 6G-SDI イ ン タ ーフ ェ イ ス上では 4 個ま たは 8 個の基本データ ス ト リ ーム が イ ン タ ー リ ーブ さ れ、 12G-SDI イ ン タ ーフ ェ イ ス上では 8 個ま たは 16 個の基本デー タ ス ト リ ームが イ ン タ ー リ ーブ さ れます。 16 ウ ェ イ イ ン タ ー リ ーブは、 デュ アル リ ン ク 12G-SDI でのみ可能です。 UHD-SDI TX は、 tx_mux_pattern ポー ト を使用 し て入力でア ク テ ィ ブな ス ト リ ーム数を把握す る 必要があ り ます。 UHD-SDI RX は、 入力 さ れ る SDI 信号に含まれ る 基本デー タ ス ト リ ーム数を自動で判断 し 、 それ ら のデータ ス ト リ ーム を適切に逆多重化し て、入力信号に含まれ る基本 デー タ ス ト リ ーム数を rx_active_stream ポー ト に示 し ます。

UltraScale GTH ト ラ ン シーバーを使用 し て SDI イ ン タ ー フ ェ イ

ス を実現

こ のセ ク シ ョ ンでは、 『UltraScale アーキテ ク チ ャ GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG576) [参照 13] を補足す る 内 容を提供 し ます。 こ こ では、 UHD-SDI アプ リ ケーシ ョ ンに重要な GTH ト ラ ン シーバーの機能お よ び動作要件を中心に説 明 し ます。 GTH ト ラ ン シーバー ポー ト の命名は『UltraScale アーキ テ ク チ ャ GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG576) [参照 13] で使用 さ れてい る 規則に従い ま す。 こ の規則は、 ポー ト のベース名にのみ使用 し ます。 GTH ウ ィ ザー ド モジ ュ ールの作 成に UltraScale FPGAs Transceiver Wizard を使用 し た場合、 すべての入力ポー ト には、 名前の後ろに _in が追加 さ れ、 すべ ての出力ポー ト には _out が追加 さ れます。 た と えば、 こ の資料で txpllclksel と な っ てい る ポー ト は、 GTH ラ ッ パー内での 実際の名前は txpllclksel_in と な り ます。

(4)

GTH ト ラ ン シーバーを使用す る アプ リ ケーシ ョ ン では、 い く つかの ク ロ ッ ク が必要です。 デー タ ス ト リ ーム にデー タ を 追加 し た り 削除 し て ク ロ ッ ク を補正で き ない SDI プ ロ ト コ ルでは、 アプ リ ケーシ ョ ン内で ク ロ ッ ク がどの よ う に生成およ び使用 さ れ る かに細心の注意が必要です。GTH ト ラ ン シーバーを駆動する には基準 ク ロ ッ ク が必要です。GTH ト ラ ン シー バー ク ワ ッ ド にあ る PLL (位相ロ ッ ク ループ) が基準 ク ロ ッ ク を使用 し て、 各 ト ラ ン シーバーの受信部お よ び送信部用の シ リ アル ク ロ ッ ク を生成 し ます。 「GTH ト ラ ン シーバーの基準 ク ロ ッ ク 」 で詳 し く 説明す る よ う に、 GTH ト ラ ン ス ミ ッ タ ーのシ リ アル ビ ッ ト レー ト は、 供給 さ れ る 基準 ク ロ ッ ク の整数倍 と な り ます。 さ ら に、 SDI ト ラ ン ス ミ ッ タ ー デー タ パ ス の入力に与え ら れ る ビデオ データ レー ト は、 GTH ト ラ ン ス ミ ッ タ ーで使用 さ れ る基準 ク ロ ッ ク 周波数 と 正確に一致 す る (ま たは正確な整数倍 と な る ) 必要があ り ます。 し たがっ て、 送信 さ れ る ビデオ ス ト リ ームのデー タ レー ト へ周波数 が確実に固定す る よ う に、 ト ラ ン ス ミ ッ タ ーの基準 ク ロ ッ ク を生成す る 設計を行 う 必要があ り ます。

UltraScale FPGAs Transceiver Wizard で GT IP を生成す る と き に ト ラ ン ス ミ ッ タ ーのユーザー ク ロ ッ キ ン グ ネ ッ ト ワ ー ク ヘ ルパー ブ ロ ッ ク を有効化する と 、GTH ト ラ ン ス ミ ッ タ ーの ク ロ ッ キ ン グは こ のブ ロ ッ ク で管理 さ れます。ヘルパー ブ ロ ッ ク 内の BUFG_GT で txusrclk お よ び txusrclk 出力が駆動 さ れ、 その周波数は、 GTH ト ラ ン ス ミ ッ タ ーの txdata ポー ト へ供 給 さ れ る デー タ の ワー ド レー ト と 同 じ にな り ます。 txusrclk お よび txusrclk は、 シ リ アル ク ロ ッ ク が PLL に よ っ て ワー ド レー ト と 等 し く な る よ う に分周 さ れ る こ と で、GTH ト ラ ン ス ミ ッ タ ー内で生成 さ れます。 ト ラ ン ス ミ ッ タ ーのユーザー ク ロ ッ キ ン グ ネ ッ ト ワ ー ク ヘルパー ブ ロ ッ ク の詳細は、 『UltraScale FPGAs Transceivers Wizard LogiCORE IP 製品ガ イ ド 』 (PG182) [参照 15] を参照 し て く だ さ い。 ただ し 、GTH レ シーバーの基準 ク ロ ッ ク は入力 さ れ る SDI 信号のビ ッ ト レー ト と 正確な関係を持つ必要はあ り ません。 こ れは、 GTH レ シーバーの ク ロ ッ ク データ リ カバ リ (CDR) ユニ ッ ト が、 最大 ±1,250ppm ( 6.6Gbps)、 つま り 基準 ク ロ ッ ク 周波数に よ る 設定どお り に公称ビ ッ ト レー ト か ら ±200ppm (> 8.0Gbps) でビ ッ ト レー ト を受信で き る ためです。こ のため、 入力 さ れ る SDI 信号 と 正確な周波数関係を持た ない ロ ーカルのオシ レー タ ーで レ シーバー基準 ク ロ ッ ク が生成可能にな り ます。 GTH レ シーバーは、 入力 さ れ る SDI ビ ッ ト レー ト に周波数ロ ッ ク さ れた リ カバ リ ク ロ ッ ク を生成 し ます。 こ れ ら の ク ロ ッ ク は、GTH Wizard IP か ら レ シーバーのユーザー ク ロ ッ キ ン グ ネ ッ ト ワー ク ヘルパー ブ ロ ッ ク の rxusrclk およ び rxusrclk2 ポー ト に出力 さ れ、 BUFG_GT で駆動 さ れます。 後ほ ど詳 し く 説明 し ますが、 rxusrclk と rxusrclk2 は、 SD-SDI 信号を受信す る 場合を除いて、 すべての SDI ラ イ ン レー ト を受信する際の真の リ カバ リ ク ロ ッ ク です。

SDI アプ リ ケーシ ョ ン には、 も う 1 つ ク ロ ッ ク が必要です。 こ れは フ リ ー ラ ン ニ ン グの固定周波数 ク ロ ッ ク であ り 、 GTH ト ラ ン シーバーの DRP (ダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン ポー ト ) 用 ク ロ ッ ク と し て使用 さ れます。 通常、 こ れ と 同 じ ク ロ ッ ク が SDI Wrapper の制御モジ ュールに供給 さ れ、 タ イ ミ ン グ制御に使用 さ れます。 こ の ク ロ ッ ク の有効な周波 数範囲は UltraScale FPGAs Transceiver Wizard で示 さ れ、 通常は 3.125 ~ 200MHz です。 こ の ク ロ ッ ク の周波数は、 SDI ア プ リ ケーシ ョ ンのその他の ク ロ ッ ク やデー タ レー ト に対し て特定の関係持つ必要はあ り ません。 SDI モー ド を変更 し た際 に、 こ の ク ロ ッ ク の周波数を変更 し ないで く だ さ い。 常に同 じ 公称周波数で動作す る 必要があ り ます。 ま た、 SDI アプ リ ケーシ ョ ンが動作中は停止で き ません。 こ の ク ロ ッ ク は、 デバ イ ス内のすべての SDI イ ン タ ーフ ェ イ ス で使用可能です。 rxusrclk お よ び txusrclk の周波数は、 SDI モー ド や GTH ト ラ ン シーバーの rxdata お よ び txdata のポー ト の幅に依存 し ます。

こ の関係は、 GTH ト ラ ン シーバーのアーキテ ク チ ャ に よ っ て固定 さ れてい ます。 場合に よ っ て、 データ ス ト リ ームのデー タ レー ト が ク ロ ッ ク 周波数 よ り も 低 く な る こ と があ る ため、 RX と TX は ク ロ ッ ク イ ネーブルを使用 し て、 デー タ ス ト リ ーム伝送デー タ レー ト を調整 し ま す。表 1 に、 各 SDI モー ド におけ る ア ク テ ィ ブ デー タ ス ト リ ー ム数、 rxdata/txdata ポー ト 幅、 rxoutclk/txoutclk 周波数、 お よび ク ロ ッ ク イ ネーブルを示 し ます。 ク ロ ッ ク イ ネーブルは、 2 データ ワー ド サ イ ク ルで ク ロ ッ ク イ ネーブルがアサー ト 中の ク ロ ッ ク 数 と し て表わ さ れます。 つま り 、 1/1 は ク ロ ッ ク サ イ ク ルご と に ク ロ ッ ク イ ネーブルがアサー ト さ れ る こ と を意味 し 、2/2 は 2 ク ロ ッ ク サ イ ク ルに 1 回 ク ロ ッ ク イ ネーブルがアサー ト さ れ (50% デ ュ ーテ ィ サ イ ク ル)、4/4 は 4 ク ロ ッ ク サ イ ク ルに 1 回 ク ロ ッ ク イ ネーブルがアサー ト さ れ る こ と (25% デ ュ ーテ ィ サ イ ク ル) を意味 し ます。 ま た、 5/6 は、 5 ク ロ ッ ク ま たは 6 ク ロ ッ ク サ イ ク ルのいずれかに 1 回 ク ロ ッ ク イ ネーブルがア サー ト さ れ、平均す る と 5.5 ク ロ ッ ク サ イ ク ルに 1 回 と な り ます ( ク ロ ッ ク イ ネーブルのハ イ パルス間に 1 イ ン ス タ ン ス の 5 ク ロ ッ ク サ イ ク ル、 それに続いて ク ロ ッ ク イ ネーブルのハ イ パルス間に 1 イ ン ス タ ン ス の 6 ク ロ ッ ク サ イ ク ルが来 る パ タ ーンが繰 り 返 さ れ る )。

(5)

GTH  ト ラ ン シーバーの基準 ク ロ ッ ク

UltraScale GTH ト ラ ン シーバーは ク ワ ッ ド に分け ら れてい ます。各 ク ワ ッ ド には 4 つの GTHE3_CHANNEL ト ラ ン シーバー プ リ ミ テ ィ ブ、お よ び 2 つの ク ワ ッ ド PLL (QPLL0 と QPLL1) を含む GTHE3_COMMON プ リ ミ テ ィ ブが 1 つあ り ます (図 2 参照)。 QPLL0 お よ び QPLL1 で生成 さ れた ク ロ ッ ク は、 ク ワ ッ ド 内の 4 つすべての ト ラ ン シーバーへ分配 さ れ ま す。 各 GTHE3_CHANNEL には、 チ ャ ネル PLL (CPLL) と 呼ばれ る 専用の PLL があ り 、 その ト ラ ン シーバーの RX お よ び TX への み ク ロ ッ ク を供給で き ます。 ク ワ ッ ド 内の各 RX と TX ユニ ッ ト では、 ク ロ ッ ク ソ ース と し て QPLL0 ま たは QPLL1 のい ずれか ま たは両方を使用すべ き か、 ま たは CPLL を使用すべ き か を個別に設定で き ます。 さ ら に、 こ の RX/TX ユニ ッ ト は、 ク ロ ッ ク ソ ース を QPLL0、 QPLL1、 CPLL 間で動的に切 り 換え可能です。 こ の コ ン フ ィ ギ ュ レーシ ョ ン と 動的切 り 換 え機能は、 SDI アプ リ ケーシ ョ ンに特に有効です。 重要: CPLL と QPLL はそれぞれ、 最大ラ イ ン レー ト が 6.25Gbps および 16.375Gbps です。 つま り 、 QPLL は 12G-SDI まで 対応で き ますが、 CPLL は 6G-SDI ラ イ ン レー ト ま で し かサポー ト で き ません。 -1 ス ピー ド グ レー ド の UltraScale GTH ト ラ ン シーバーの場合、 CPLL の最大 ラ イ ン レー ト は 4.25Gbps であ る ため、 サポー ト は最大 3G-SDI に制限 さ れ る こ と に留 意 し て く だ さ い。 こ の制限があ る のは -1 ス ピー ド グ レー ド デバ イ ス のみです。詳細は、『Kintex UltraScale アーキテ ク チ ャ デー タ シー ト : DC 特性およ び AC ス イ ッ チ特性』 (DS892) [参照 16] の 「GTH ト ラ ン シーバーの ス イ ッ チ特性」 のセ ク シ ョ ン を参照 し て く だ さ い。 表 1 : ク ロ ッ ク周波数 と ク ロ ッ ク イ ネーブルの要件

SDI モー ド デー タ  ス ト リ ームア ク テ ィ ブ な  RX/TXDATA ビ ッ ト 幅 RX/TXOUTCLK 周波数 ク ロ ッ ク イ ネーブル

SD-SDI 1 20 148.5MHz 5/6 HD-SDI 2 20 74.25 ま たは 74.25/1.001MHz 1/1 3G-SDI A 2 20 148.5 ま たは 148.5/1.001MHz 1/1 3G-SDI B 4 20 148.5 ま たは 148.5/1.001MHz 2/2 6G-SDI 4 40 148.5 ま たは 148.5/1.001MHz 1/1 6G-SDI 8 40 148.5 ま たは 148.5/1.001MHz 2/2 12G-SDI 8 40 297 ま たは 297/1.001MHz 2/2 12G-SDI 16 40 297 ま たは 297/1.001MHz 4/4

(6)

一般的な UHD-SDI アプ リ ケーシ ョ ンでは、 9 つの異な る ビ ッ ト レー ト をサポー ト する ために GTH ト ラ ン シーバーが必要 です。 • 270Mb/s (SD-SDI の場合) • 1.485Gb/s (HD-SDI の場合) • 1.485/1.001Gb/s (HD-SDI の場合) • 2.97Gb/s (3G-SDI の場合) • 2.97/1.001Gb/s (3G-SDI の場合) • 5.94Gb/s (6G-SDI の場合) • 5.94/1.001Gb/s (6G-SDI の場合) • 11.88Gb/s (12G-SDI の場合) • 11.88/1.001Gb/s (12G-SDI の場合) GTH ト ラ ン シーバーの RX 部に含ま れ る CDR ユニ ッ ト は、 6.6Gb/s 未満の基準周波数か ら 最大 ±1250ppm のビ ッ ト レー ト を受信で き ます。 HD-SDI、 3G-SDI、 6G-SDI、 およ び 12G-SDI には、 正確に 1000ppm 異な る 2 つのビ ッ ト レー ト があ り ま す。 HD-SDI、 3G-SDI、 およ び 6G-SDI の場合、 1 つの基準 ク ロ ッ ク 周波数を使用し て両方のビ ッ ト レー ト を受信する こ と X-Ref Target - Figure 2

図 2 : UltraScale GTH  ト ラ ン シーバーのク ワ ッ ド  コ ン フ ィ ギュ レーシ ョ ン Z&>< ŝƐƚƌŝďƵƚŝŽŶ 7; *7+(B&+$11(/ 5; &3// *7+(B&20021 43// ,%8)'6B*7( ,%8)'6B*7( *7+(B&20021 43// 7; *7+(B&+$11(/ 5; &3// 7; *7+(B&+$11(/ 5; &3// 7; *7+(B&+$11(/ 5; &3//

(7)

が可能です。 こ れ と 同 じ 基準 ク ロ ッ ク 周波数で SD-SDI の受信 も 可能です。 つま り 、 12G-SDI を除 く すべての SDI モー ド で必要な RX 基準 ク ロ ッ ク 周波数は 1 つのみです。 一方、 12G-SDI レー ト の場合は、 CDR ユニ ッ ト の基準 ク ロ ッ ク 周波数 に対す る 許容誤差がわずか ±200ppm であ る ため、2 つの 12G-SDI ビ ッ ト レー ト を受信する には 2 つの異な る基準 ク ロ ッ ク 周波数が必要二な り ます。 こ れ ら の 2 つの基準 ク ロ ッ ク 周波数は通常、 148.5MHz で 11.88Gb/s を受信し 、 148.5/1.001MHz で 11.88/1.001Gb/s を受信 し ます。 こ のため、 ほ と ん ど の SDI アプ リ ケーシ ョ ンでは、 2 つの基準 ク ロ ッ ク を GTH ク ワ ッ ド へ供給し ます。 通常、 2 つの基準 ク ロ ッ ク の組み合わせは 148.5MHz と 148.5/1.001MHz です。 こ のアプ リ ケーシ ョ ン ノ ー ト の説明では こ の 148.5MHz と 148.5/1.001MHz 基準 ク ロ ッ ク 周波数を使用 し ます。 GTH ト ラ ン シーバーの基準 ク ロ ッ ク ソ ース は、 アプ リ ケーシ ョ ン に よ っ て異な り ます。 レ シーバーの基準 ク ロ ッ ク ソ ー ス は、 入力 さ れ る SDI ビ ッ ト レー ト と 正確に一致する必要がないため、 ロ ーカルのオシ レータ ーで対応で き ます。 一方、 GTH ト ラ ン ス ミ ッ タ ーの ラ イ ン レー ト は、常に基準 ク ロ ッ ク 周波数の整数倍であ る ため、 ト ラ ン ス ミ ッ タ ーの基準 ク ロ ッ ク 周波数は、 送信 さ れ る デー タ のデー タ レー ト と 正確な関係を持つ必要があ り ます。 ほ と んどの場合、 ト ラ ン ス ミ ッ タ ー の基準 ク ロ ッ ク はゲン ロ ッ ク PLL で生成 さ れ る ため、ス タ ジオのビデオ基準信号か ら GTH ト ラ ン ス ミ ッ タ ー ラ イ ン レー ト を生成 し ます。 SDI パス スルー接続な どの場合、 ト ラ ン ス ミ ッ タ ー ラ イ ン レー ト は、 SDI 信号を受信 し てい る GTH レ シーバーの リ カバ リ ク ロ ッ ク か ら 生成 さ れます。その よ う な場合、 ト ラ ン ス ミ ッ タ ーの基準 ク ロ ッ ク と し て使用する前に、 外部 PLL で リ カバ リ ク ロ ッ ク のジ ッ タ ーを軽減する必要があ り ます。 一般的な UHD-SDI アプ リ ケーシ ョ ンでは、 2 つの基準 ク ロ ッ ク が QPLL0 と QPLL1に接続 さ れます。 ク ワ ッ ド 内の各 ト ラ ン シーバーの RX ユニ ッ ト と TX ユニ ッ ト は、 その時点で必要な ビ ッ ト レー ト に従っ て PLL ク ロ ッ ク を動的に切 り 替え ま す。 PLL の TX および RX ユニ ッ ト シ リ アル ク ロ ッ ク ソ ース の選択には、 GTH txsysclksel および rxsysclksel ポー ト を使用 し ま す。図 3 に、 こ の一般的な SDI ア プ リ ケーシ ョ ン の コ ン フ ィ ギ ュ レ ーシ ョ ン を 示 し ま す。図 3 では、 イ ン プ リ メ ン テーシ ョ ンで動的に使用 さ れないマルチプ レ ク サーを配線に置 き 換えてお り 、 ク ワ ッ ド 間の基準 ク ロ ッ ク 配線は表示 し て い ません。 1 つの 12G-SDI ビ ッ ト レー ト のみサポー ト す る アプ リ ケーシ ョ ンでは、 一方の基準 ク ロ ッ ク を CPLL へ接続 し 、 も う 一方を QPLL0/1 へ接続する こ と がで き ます。 ま た、 各 GTH RX および TX ユニ ッ ト には、 選択 し た ク ロ ッ ク を指定可能な 2 の累乗の整数値で分周する シ リ アル ク ロ ッ ク 分周器があ り ます。 こ れに よ っ て、 た と えば、 ク ワ ッ ド 内のすべての RX ユニ ッ ト は QPLL か ら の同じ ク ロ ッ ク 周波数 を使用 し なが ら も 、 異な る シ リ アル ク ロ ッ ク 分周値を用いて異な る ラ イ ン レー ト で動作で き る よ う にな り ます。 3G-SDI、 6G-SDI、 お よ び 12G-SDI ビ ッ ト レー ト は HD-SDI、 3G-SDI、 お よ び 6G-SDI ビ ッ ト レー ト の 2 倍の速度 と な る ため、 こ の 機能は SDI イ ン タ ーフ ェ イ ス に非常に有効です。 270Mb/s SD-SDI の場合、 GTH ト ラ ン シーバーは 11 倍のオーバーサンプ リ ン グ テ ク ニ ッ ク に よ っ て 3G-SDI ラ イ ン レー ト で動作 し ます。 RX お よび TX ユニ ッ ト では、 2 の累乗値で指定 し た異な る 4 つの分周器を用いて ク ロ ッ ク ソ ース を分周で き る ため、基準 ク ロ ッ ク 周波数を 2 つ使用する だけですべての SDI ビ ッ ト レー ト の送受信が可能です。 RX お よ び TX ユニ ッ ト のシ リ アル ク ロ ッ ク 分周器の値は、RXOUT_DIV お よ び TXOUT_DIV 属性を利用 し て DRP か ら 動 的に変更可能です。 図 3 に示す コ ン フ ィ ギ ュ レーシ ョ ンは、 ほ と ん ど の SDI アプ リ ケーシ ョ ン に最適な ソ リ ュ ーシ ョ ン です。 その理由は、 次 の と お り です。 • レ シーバーは、 QPLL0 と QPLL1 を使用し てすべての SDI ビ ッ ト レー ト を受信で き、 基準 ク ロ ッ ク か ら 生成 さ れたシ リ アル ク ロ ッ ク を ク ワ ッ ド 内のすべての レ シーバーへ供給し ます。 • ト ラ ン ス ミ ッ タ ーは、 サポー ト さ れてい る すべての SDI ビ ッ ト レー ト で送信する のに必要な 2 つのシ リ アル ク ロ ッ ク を得 る ため、 QPLL0 と QPLL1 か ら の ク ロ ッ ク を動的に切 り 換え る こ と がで き ます。 • ク ワ ッ ド 内の 4 つの レ シーバー と 4 つの ト ラ ン ス ミ ッ タ ーは完全に独立 し てい る ため、 それぞれ異な る SDI ビ ッ ト レー ト で動作で き 、ほかの RX や TX ユニ ッ ト へ干渉する こ と な く ビ ッ ト レー ト を動的に切 り 換え る こ と も 可能です。 • ゲン ロ ッ ク 機能を搭載 し た アプ リ ケーシ ョ ンでは、最新のゲン ロ ッ ク PLL が同期基準入力信号か ら 2 つの基準 ク ロ ッ ク 周波数を同時に提供で き ます。

(8)

図 3 について説明 し ます。 1. GTH RX イ ン タ ーフ ェ イ スお よ び内部ビ ッ ト 幅は、 現時点での SDI モー ド と デー タ ス ト リ ーム の イ ン タ ー リ ーブ パ タ ーンに応 じ て、 RX_DATA_WIDTH および RX_INT_DATAWIDTH DRP 属性を使用 し て動的に変更 さ れます。 2. GTH TX イ ン タ ーフ ェ イ ス お よ び内部ビ ッ ト 幅は、 現時点での SDI モー ド と デー タ ス ト リ ーム の イ ン タ ー リ ーブ パ タ ーンに応 じ て、 TX_DATA_WIDTH および TX_INT_DATAWIDTH DRP 属性を使用し て動的に変更 さ れます。 SDI アプ リ ケーシ ョ ン に よ っ ては、SDI ト ラ ン ス ミ ッ タ ーが同 じ 公称ビ ッ ト レー ト で送信 し ていて も 、わずかに異な る ビ ッ ト レー ト で動作す る 場合があ り ます。 こ れは、 各 TX の ビ ッ ト レー ト が、 関連す る SDI RX で受信 さ れ る SDI の ビ ッ ト レ ー ト と 正確に一致 し な ければな ら ない SDI ルー タ ーで見 ら れ ます。 同 じ 公称ビ ッ ト レー ト で送信す る 2 つの ト ラ ン ス ミ ッ タ ーの実際のビ ッ ト レー ト には、 数 ppm の差があ り ます。 こ の よ う なアプ リ ケーシ ョ ンは、 各 TX ユニ ッ ト が CPLL を排他的に使用で き る UltraScale GTH の ク ワ ッ ド アーキテ ク チ ャ に よ っ てサポー ト で き ますが、 こ れには各 CPLL に専用 の基準 ク ロ ッ ク 周波数を供給す る 必要があ り 、 その上、 使用可能な GTH 基準 ク ロ ッ ク 入力数には制限があ り ます。 基準 ク ロ ッ ク 入力は各 GTH ク ワ ッ ド に 2 つずつあ り ます。 ク ワ ッ ド は上下 ク ワ ッ ド か ら の基準 ク ロ ッ ク を使用で き る ため、デ バ イ ス内の複数の GTH ク ワ ッ ド に 5 つの異な る基準 ク ロ ッ ク 周波数 (RX ユニ ッ ト に 1 つ、 4 つの TX ユニ ッ ト に 4 つ) を 供給で き ますが、 デバ イ ス内の GTH TX すべてが独自の基準 ク ロ ッ ク を持つには、 基準 ク ロ ッ ク 入力数が足 り ません。 こ の よ う な場合、 そのシ リ アル ク ロ ッ ク の周波数か ら ± 数百 ppm で GTH TX をプルで き る PICXO テ ク ニ ッ ク が非常に有効 です。 こ のため、 各 SDI TX のビ ッ ト レー ト が受信 さ れ る SDI 信号のビ ッ ト レー ト と 個別に ロ ッ ク する必要があ る アプ リ ケーシ ョ ン では、図 3 に示す一般的な基準 ク ロ ッ ク を利用 し て実装 し 、 さ ら に PICXO テ ク ニ ッ ク を利用 し て各 GTH TX にそれぞれの SDI ト ラ ン ス ミ ッ タ ーの正確な ビ ッ ト レー ト を設定 し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では、 PICXO に ついて説明 し てい ません。 PICXO の使用については、 ザ イ リ ン ク ス テ ク ニカル サポー ト ま でお問い合わせ く だ さ い。 X-Ref Target - Figure 3

図 3 : SDI 用の GTH 基準ク ロ ッ クのイ ン プ リ メ ン テーシ ョ ン (一般的な場合)

£¦ª|§ U

¼  ¥

¢

£¦ª|¥§ U

¼  ¥

 ¥¼

 ¥¼  



£



¤

½

½

 

¼ 

¼ 

£

 ¥¼

 

 ¥¼  



£



¤

½

½

 

¼ 

¼ 

 

 ¥¼  



£



¤

½

½

 

¼ 

¼ 

 

 ¥¼  



£



¤

½

½

 

¼ 

¼ 

 

(9)

リ セ ッ ト

GTH ト ラ ン シーバーには、『UltraScale アーキ テ ク チ ャ GTH ト ラ ン シーバー ユーザー ガ イ ド 』 [参照 13] で説明 さ れてい る と お り 、 非常に特殊な リ セ ッ ト 要件があ り ます。 GTH ト ラ ン シーバーでは、 PLL の リ セ ッ ト と GTH ト ラ ン シーバーの リ セ ッ ト (gttxreset および gtrxreset) を注意深 く 調整する必要があ り ます。 こ の調整は、 UltraScale FPGAs Transceiver Wizard を 使用 し て GTH ト ラ ン シーバーを生成する 際に、 ク ロ ッ キ ン グ ネ ッ ト ワー ク と リ セ ッ ト コ ン ト ロ ー ラ ー ヘルパー ブ ロ ッ ク を有効にす る と 簡単にな り ます。 リ セ ッ ト コ ン ト ロ ー ラ ー ヘルパー ブ ロ ッ ク が、 複雑な GTH ト ラ ン シーバーの リ セ ッ ト シーケ ン ス に対応する よ う 調整 し ます。こ のアプ リ ケーシ ョ ン ノ ー ト で提供する制御モジ ュールは、すべての UHD-SDI コ ア コ ン フ ィ ギ ュ レーシ ョ ン ア ッ プデー ト 用の リ セ ッ ト アサーシ ョ ン を管理 し 、 GTH ト ラ ン シーバーが確実かつ適切に 動作す る よ う に し ます。

GTH TX の リ セ ッ ト

UltraScale FPGAs Transceiver Wizard は、 GTH ト ラ ン シーバーの TX 部を リ セ ッ ト す る ために 3 つの方法を提供 し ます。 • gtwiz_reset_all_in : ア ク テ ィ ブ High 信号であ り 、TX と RX 部の PLL、お よ び GTH ト ラ ン シーバーのア ク テ ィ ブなデー

タ 方向を リ セ ッ ト す る ユーザー信号です。 こ の リ セ ッ ト は、TX および RX GTH 部に影響を与え る ため、通常はス タ ー ト コ ンデ ィ シ ョ ン中はアサー ト さ れます。

• gtwiz_reset_tx_pll_ お よ び _datapath_in : ア ク テ ィ ブ High 信号であ り 、 GTH ト ラ ン シーバーの TX デー タ 方向お よ び関 連す る PLL を リ セ ッ ト する ユーザー信号です。 特に、 TX PLL の基準 ク ロ ッ ク が変更 さ れた と き には、 こ の リ セ ッ ト が有用です。 • gtwiz_reset_tx_datapath_in : ア ク テ ィ ブ High 信号で あ り 、 ト ラ ン シーバー プ リ ミ テ ィ ブの TX デー タ 方向を リ セ ッ ト す る ユーザー信号です。 こ の リ セ ッ ト 信号は、 tx_mode、 tx_m、 およ び tx_mux_pattern ポー ト の少な く と も 1 つが変更 さ れ る と 、 SDI TX アプ リ ケーシ ョ ンに対 し てアサー ト さ れます。 QPLL と CPLL を使用す る 場合、こ れ ら の 2 種類の PLL の動作周波数範囲は異な り ます。SDI アプ リ ケーシ ョ ン では、QPLL か ら のシ リ アル ク ロ ッ ク は、 CPLL か ら のシ リ アル ク ロ ッ ク の 2 倍の周波数 と な り ます。 こ のため、 SDI Wrapper の tx_m 入力ポー ト が変更 さ れて、 2 つの PLL 間で GTH TX の動的切 り 替えが要求 さ れ る と き、 ト ラ ン ス ミ ッ タ ーが同 じ SDI モー ド の ま ま の場合には、 TXOUT_DIV DRP 属性を介し てシ リ アル ク ロ ッ ク 分周器を動的に変更する必要があ り ます。 た と え ば、 シ リ アル ク ロ ッ ク ソ ース と し て QPLL を使用する 1.485Gb/s の HD-SDI ビ ッ ト レー ト か ら 、 シ リ アル ク ロ ッ ク ソ ー ス と し て CPLL を使用する 1.485/1.001 の HD-SDI ビ ッ ト レー ト へ切 り 替え る場合には、 txsysclksel ポー ト と TXOUT_DIV DRP 属性の両方を変更す る 必要があ り ます。 ただ し 、 SDI Wrapper の tx_mode 入力ポー ト で選択 さ れた SDI モー ド が tx_m ポー ト と 同時に変更 さ れ る 場合、 シ リ アル ク ロ ッ ク 分周器の変更が必要 と は限 り ま せん。 た と えば、 CPLL を使用す る HD-SDI モー ド か ら QPLL を使用す る 3G-SDI モー ド へ変更す る 場合、 CPLL か ら QPLL への変更ではシ リ アル ク ロ ッ ク 周 波数が必然的に増加 し 、 結果 と し て ラ イ ン レー ト が 2 倍にな る ため、 Txrate ポー ト を変更する必要はあ り ません。 tx_mode ポー ト は、 GTH ト ラ ン シーバーのデー タ 幅に影響 し ます。 た と えば、 6G-SDI ま たは 12G-SDI の場合、 内部デー タ 幅 と イ ン タ ーフ ェ イ ス データ 幅は 4 バ イ ト と 40 ビ ッ ト にそれぞれ変更する必要があ り ます。 ビ ッ ト レー ト が よ り 低い 場合は、 こ れ ら のパ ラ メ ー タ ーは 2 バ イ ト お よ び 20 ビ ッ ト に設定 さ れます。 UHD-SDI TX と GTH ト ラ ン シーバーの TX 部のデー タ 幅は常に一致す る 必要があ り ます。 こ れ ら は、 GTH ト ラ ン シーバー内の イ ン タ ーフ ェ イ ス幅 と 内部データ 幅の 設定に使用す る RX_DATA_WIDTH および RX_INT_DATAWIDTH DRP 属性を変更 し て一致 さ せる こ と がで き ます。 tx_mode と tx_m は、 SDI Wrapper の独立 し た 入力 ポ ー ト で あ る た め、 こ れ ら の ポ ー ト の 一方 が変更 さ れ た 場合 に は、 txsysclksel ポー ト 、 TXOUT_DIV、 RX_DATA_WIDTH、 お よ び RX_INT_DATAWIDTH DRP 属性が動的に変更 さ れ る 前に、 わずかなセ ト リ ン グ遅延が適用 さ れます。 こ の遅延に よ っ て、 も う 一方のポー ト が変更 さ れ る ま でに短い時間が与え ら れ る ため、 こ の間に TX 制御ロ ジ ッ ク は こ れ ら のポー ト およ び DRP 属性の変更が必要であ る かを判断 し ます。

SDI Wrapper には TX 部の リ セ ッ ト 入力が 2 つあ り ます。

• tx_rst_in : High にアサー ト さ れ る と 、 UHD-SDI コ アの SDI TX デー タ パ ス、 TX コ ン ト ロ ー ラ ー モジ ュ ール、 お よ び GTH ト ラ ン シーバーの TX 部を リ セ ッ ト し ます。 • gth_wiz_reset_tx_pll_and_datapath_in : High にアサー ト さ れ る と 、 TX に関連す る PLL を リ セ ッ ト し てか ら 、 GTH ト ラ ン シーバーの TX 部を リ セ ッ ト し ます。

GTH RX の リ セ ッ ト

TX 部 と 同様に、 ユーザー アプ リ ケーシ ョ ン では、 こ のセ ク シ ョ ン で説明 し たすべての RX リ セ ッ ト と 動的変更動作が互 いに干渉 し ない よ う に SDI 制御モジ ュールに よ っ て慎重に調整 さ れます。

(10)

• gtwiz_reset_all_in : ア ク テ ィ ブ High 信号であ り 、TX と RX 部の PLL、お よ び GTH ト ラ ン シーバーのア ク テ ィ ブなデー タ 方向を リ セ ッ ト す る ユーザー信号です。 こ の リ セ ッ ト は、TX および RX GTH 部に影響を与え る ため、通常はス タ ー ト コ ンデ ィ シ ョ ン中はアサー ト さ れます。 • gtwiz_reset_rx_pll_and_datapath_in : ア ク テ ィ ブ High 信号であ り 、 GTH ト ラ ン シーバーの RX デー タ 方向お よ び関連す る PLL を リ セ ッ ト する ユーザー信号です。 特に、 RX PLL の基準 ク ロ ッ ク が変更 さ れた と き には、 こ の リ セ ッ ト が有 用です。 • gtwiz_reset_rx_datapath_in : ア ク テ ィ ブ High 信号であ り 、 ト ラ ン シーバー プ リ ミ テ ィ ブの RX デー タ 方向を リ セ ッ ト す る ユーザー信号です。 こ の リ セ ッ ト 信号は、 rx_mode、 rx_m、 およ び rx_active_streams ポー ト の少な く と も 1 つが変 更 さ れ る と 、 SDI RX アプ リ ケーシ ョ ンに対 し てアサー ト さ れます。 CPLL お よ び QPLL は、 6.6Gbps ビ ッ ト レー ト に対し て ±1250ppm の許容範囲があ る ため、 1 つの CPLL ま たは QPLL で SD-SDI か ら 6G-SDI のすべての ビ ッ ト レー ト (0ppm お よ び 1000ppm) を サポー ト し ます。 一方、 12G-SDI の場合は、 2 つ の ビ ッ ト レ ー ト を サポー ト す る た めに、 GTH ト ラ ン シーバーの QPLL0 と QPLL1 の両方が必要に な り ま す。 つ ま り 、 12G-SDI アプ リ ケーシ ョ ン では、 11.88Gbps か ら 11.88/1.001Gbps へ、 ま たはその逆方向へ切 り 替え る 際に、 rxsysclksel を 変更す る 必要があ り ます。

SDI モー ド (SD-SDI、 HD-SDI、 3G-SDI、 6G-SDI、 お よ び 12G-SDI) を変更す る と 、 4 つの項目 (rxcdrhold ポー ト 、 イ コ ラ イ ゼ ー シ ョ ン の 有 効 化/無効化 (LPM お よ び DFE)、 RXCDR_CFG 属性、 RXOUT_DIV、 RX_DATA_WIDTH、 お よ び RX_INT_DATA_WIDTH 属 性) を 1 つ ま た は 複数 を 変更す る 必要 が あ り ま す。 RXCDR_CFG2、 RXOUT_DIV、 RX_DATA_WIDTH、 お よ び RX_INT_DATA_WIDTH 属性は、 DRP を介 し て変更 さ れ ま す。 RX SDI モー ド が SD-SDI の場 合は、 rxcdrhold ポー ト を High にアサー ト し ます。 LPM および DFE は、 SD-SDI の場合に無効に設定 し 、 その他の SDI ラ イ ン レー ト の場合に有効に設定 し ます。 HD-SDI、 3G-SDI、 6G-SDI、 およ び 12G-SDI へ切 り 替えて現在の ラ イ ン レー ト に CDR を最適化す る と 、 RXCDR_CFG2 属性が変更 さ れ ます。 RXOUT_DIV 属性は、 GTH RX 用のシ リ アル ク ロ ッ ク 分周器 を制御 し ます。 GTH RX は、 こ れ ら の 4 つのいずれかに動的変更 さ れた後、 GT Wizard の gtwiz_reset_rx_datapath_in ポー ト を使用 し て リ セ ッ ト す る 必要があ り ます。 SDI モー ド の変更シーケ ン ス で こ れ ら の 1 つ以上が変更 さ れ る場合は、 すべて の変更が行われた後に gtwiz_reset_rx_datapath_in を 1 サ イ ク ル間アサー ト する必要があ り ます。

SDI Wrapper には RX 部の リ セ ッ ト 入力が 2 つあ り ます。

• rx_rst_in : High にアサー ト さ れ る と 、 UHD-SDI コ アの SDI RX デー タ パ ス、 RX コ ン ト ロ ー ラ ー モジ ュ ール、 お よ び GTH ト ラ ン シーバーの RX 部を リ セ ッ ト し ます。 • gth_wiz_reset_rx_pll_and_datapath_in : High にアサー ト さ れ る と 、 RX に関連す る PLL を リ セ ッ ト し てか ら 、 GTH ト ラ ン シーバーの RX 部を リ セ ッ ト し ます。

SDI ア プ リ ケーシ ョ ンの GTH PLL の使用例

こ のセ ク シ ョ ンでは、 SDI アプ リ ケーシ ョ ンで使用 さ れ る PLL およ び ト ラ ン シーバーの一般的な コ ン フ ィ ギ ュ レーシ ョ ン について説明 し ます。 すべての コ ン フ ィ ギ ュ レーシ ョ ンについて言及 し てい る わけではあ り ませんが、 PLL の リ セ ッ ト 信 号 と ロ ッ ク 信号の適切な接続については十分に説明 し てい ます。 SDI Wrapper には 4 つの固定パ ラ メ ー タ ーがあ り 、 こ れ ら は QPLL か ら のシ リ アル ク ロ ッ ク ソ ース 、 ま たは CPLL か ら の シ リ アル ク ロ ッ ク ソ ース を指定 し ます。 PLL ク ロ ッ ク の配線は、 こ れ ら の属性で制御 さ れません。 こ れ ら は、 適切な RX お よ び TX シ リ アル ク ロ ッ ク 分周器の値を計算 し 、 TX の場合は、 rx_m お よ び tx_m の現在の値に基づいて、 GTH Wizard IP の rxpllclksel_in お よ び txpllclksel_in を駆動す る 値を計算す る ために使用 さ れ ま す。 こ れ ら の 4 つのパ ラ メ ー タ ーは、 2 ビ ッ ト バ イ ナ リ 値で、 次の説明の と お り に指定する必要があ り ます。 • RXPLLCLKSEL_RX_M_0 パ ラ メ ー タ ーは、 rx_m が Low の と き の GTH RX の ク ロ ッ ク ソ ース に基づいて、 2'b00 (CPLL)、 2'b11 (QPLL0)、 あ る いは 2'b10 (QPLL1) に設定 し ます。

• RXPLLCLKSEL_RX_M_1 パ ラ メ ー タ ーは、 rx_m が high で rx_mode が 3'b110 (12G 11.88/1.001Gb/s) の と き の GTH RX の ク ロ ッ ク ソ ース に基づいて、 2'b00 (CPLL)、 2'b11 (QPLL0)、 あ る いは 2'b10 (QPLL1) に設定 し ます。 • TXPLLCLKSEL_TX_M_0 パ ラ メ ー タ ーは、 tx_m が Low の と き の GTH TX の ク ロ ッ ク ソ ース に基づいて、 2'b00 (CPLL)、 2'b11 (QPLL0)、 あ る いは 2'b10 (QPLL1) に設定 し ます。 • TXPLLCLKSEL_TX_M_1 パ ラ メ ー タ ーは、 tx_m が High の と き の GTH TX の ク ロ ッ ク ソ ース に基づいて、 2'b00 (CPLL)、 2'b11 (QPLL0)、 あ る いは 2'b10 (QPLL1) に設定 し ます。 RX ク ロ ッ ク には 2 つのパ ラ メ ー タ ーがあ り 、SDI Wrapper の rx_m ポー ト を使用す る 2 つの PLL ク ロ ッ ク ソ ー ス間の動的 切 り 替えに対応 し ます。 RXPLLCLKSEL_RX_M_0 は、 tx_m が Low の と き に GT Wizard IP の rxpllclksel_in を駆動する ため に使用 さ れ、 RXPLLCLKSEL_RX_M_1 は、 rx_m が High で rx_mode が 3'b110 (12G-SDI /1.001) の場合に使用 さ れます。 RX

(11)

PLL を 動的に切 り 替え な い ア プ リ ケ ーシ ョ ン では、 RX PLL の ク ロ ッ ク ソ ー ス に従 っ て、 RXPLLCLKSEL_RX_M_0 と RXPLLCLKSEL_RX_M_1 に同 じ 値を指定 し て く だ さ い。

RX と 同様、TX ク ロ ッ ク には 2 つのパ ラ メ ー タ ーがあ り 、SDI Wrapper の tx_m ポー ト を使用す る 2 つの PLL ク ロ ッ ク ソ ー ス間の動的切 り 替えに対応 し ます。 TXPLLCLKSEL_TX_M_0 は、 tx_m が Low の と き に txpllclksel_in を駆動する ために使 用 さ れ、TXPLLCLKSEL_TX_M_1 は、tx_m が High の と き に使用 さ れます。TX PLL を動的に切 り 替えないアプ リ ケーシ ョ ン では、 TX PLL の ク ロ ッ ク ソ ース に従っ て、 TXPLLCLKSEL_TX_M_0 と TXPLLCLKSEL_TX_M_1 に同 じ 値を指定 し て く だ さ い。

使用モデル 1 : ク ワ ッ ド 内の 1 つの ト ラ ン シーバーがア ク テ ィ ブで、 RX  と  TX は 

QPLL0/QPLL1 を動的に切 り 替え る場合

図 4 に示す こ の使用モデルでは、 ク ワ ッ ド 内にア ク テ ィ ブな ト ラ ン シーバーが 1 つあ り 、 RX と TX シ リ アル ク ロ ッ ク は QPLL0 ま たは QPLL1 のいずれかで供給 さ れ ます。 こ の使用モデルは、 両方の 12G-SDI ビ ッ ト レー ト がサポー ト さ れてい る 場合に推奨 さ れ る ク ロ ッ キ ン グです。 次の接続お よ び コ ン フ ィ ギ ュ レーシ ョ ンが必要です。 • 基準 ク ロ ッ ク 148.5MHz および 148.35MHz を gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポー ト へそれぞれ 接続 し ます。 • gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポー ト は 0 に接続 し ます。 • gth_drpclk_in は、 GTH Wizard IP の生成中に指定 さ れた ク ロ ッ ク に接続 し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では 27MHz です。 • gth_wiz_reset_tx_pll_and_datapath_in お よ び gth_wiz_reset_rx_pll_and_datapath_in 入力ポー ト は、QPLL0 お よ び QPLL1 へ の基準 ク ロ ッ ク ソ ース が安定 し てい る場合のみ Low にな る必要があ り ます。

• SDI Wrapper Support の RXPLLCLKSEL_RX_M_0 パ ラ メ ー タ ーは、 2'b11 (QPLL0) に設定 し ます。 • SDI Wrapper Support の RXPLLCLKSEL_RX_M_1 パ ラ メ ー タ ーは、 2'b10 (QPLL1) に設定 し ます。 • SDI Wrapper Support の TXPLLCLKSEL_TX_M_0 パ ラ メ ー タ ーは、 2'b11 (QPLL0) に設定 し ます。 • SDI Wrapper Support の TXPLLCLKSEL_TX_M_1 パ ラ メ ー タ ーは、 2'b10 (QPLL1) に設定 し ます。

• 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL0 を リ セ ッ ト し なければな ら ない場合は、 SDI Wrapper Support の gth_qpll0_reset_in 入力を アサー ト し ます。

• 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL1 を リ セ ッ ト し なければな ら ない場合は、 SDI Wrapper Support の gth_qpll1_reset_in 入力を アサー ト し ます。

X-Ref Target - Figure 4

図 4 : PLL 使用モデル 1 およびモデル 2 ([WHUQDO5HIFON 6RXUFH ,%8)'6B*7( TSOOBUHIFON TSOOBORFN 6',:UDSSHU TSOOBFON 43// TSOOBUHVHW ([WHUQDO5HIFON 6RXUFH ,%8)'6B*7( TSOOBUHIFON TSOOBORFN TSOOBFON 43// TSOOBUHVHW *7:L]DUG,3 6037( 8+'6', &RUH &RQWURO 0RGXOH W[XVUFON U[XVUFON JWKBUHVHWBDOO JWKBUHVHWBW[BSOOBDQGBGDWDSDWK JWKBUHVHWBU[BSOOBDQGBGDWDSDWK JWKBGUSFON 6',:UDSSHU6XSSRUW JWKBTSOOBUHVHWBLQ JWKBTSOOBUHVHWBLQ W[SOOFONVHOBLQ U[SOOFONVHOBLQ *7+(B&20021

(12)

使用モデル 2 : ク ワ ッ ド 内の 1 つの ト ラ ン シーバーがア ク テ ィ ブで、 RX が QPLL1 で 

TX が QPLL0 に よ っ て ク ロ ッ ク 供給 さ れる場合

図 4 に示す こ の使用モデルでは、 ク ワ ッ ド 内にア ク テ ィ ブな ト ラ ン シーバーが 1 つあ り 、 GTH RX は QPLL1 で ク ロ ッ ク 供 給 さ れ、 GTH TX は QPLL0 で ク ロ ッ ク 供給 さ れます。 次の接続が必要です。 • 基準 ク ロ ッ ク を gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポー ト へそれぞれ接続 し ます。 • gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポー ト は 0 に接続 し ます。 • gth_drpclk_in は、 GTH Wizard IP の生成中に指定 さ れた ク ロ ッ ク に接続 し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では 27MHz です。 • gth_wiz_reset_tx_pll_and_datapath_in 入力ポー ト は、 QPLL0 への基準 ク ロ ッ ク ソ ー ス が安定 し てい る 場合のみ Low に な る 必要があ り ます。 • gth_wiz_reset_rx_pll_and_datapath_in 入力ポー ト は、 QPLL1 への基準 ク ロ ッ ク ソ ー ス が安定 し てい る 場合のみ Low に な る 必要があ り ます。

• SDI Wrapper Support の RXPLLCLKSEL_RX_M_0 パ ラ メ ー タ ーは、 2'b10 (QPLL1) に設定 し ます。 • SDI Wrapper Support の RXPLLCLKSEL_RX_M_1 パ ラ メ ー タ ーは、 2'b10 (QPLL1) に設定 し ます。 • SDI Wrapper Support の TXPLLCLKSEL_TX_M_0 パ ラ メ ー タ ーは、 2'b11 (QPLL0) に設定 し ます。 • SDI Wrapper Support の TXPLLCLKSEL_TX_M_1 パ ラ メ ー タ ーは、 2'b11 (QPLL0) に設定 し ます。

• 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL0 を リ セ ッ ト し なければな ら ない場合は、 SDI Wrapper Support の gth_qpll0_reset_in 入力を アサー ト し ます。

• 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL1 を リ セ ッ ト し なければな ら ない場合は、 SDI Wrapper Support の gth_qpll1_reset_in 入力を アサー ト し ます。

使用モデル 3 : ク ワ ッ ド 内の複数の ト ラ ン シーバーがア ク テ ィ ブで、 RX  と  TX は 

QPLL0/QPLL1 を動的に切 り 替え る場合

図 5 に示す こ の使用モデルには、 ク ワ ッ ド 内に複数のア ク テ ィ ブ ト ラ ン シーバーがあ り ます。 すべての GTH レ シーバー は、 QPLL か ら ク ロ ッ ク 供給 さ れます。 すべての GTH ト ラ ン ス ミ ッ タ ーは、 QPLL0 と QPLL1 で個別に切 り 替え可能です。 こ のモデルは、図 3 に示す一般的な使用モデルに当ては ま り ます。

こ の使用モデルでは、 SDI Wrapper Support が 1 つ イ ン ス タ ン シエー ト さ れてお り 、 GTHE3 Common Primitive と 関連する差 動 ク ロ ッ ク バ ッ フ ァ ーを含みます。 その他の SDI チ ャ ネル用に複数の SDI Wrapper (最大 3 つ) が イ ン ス タ ン シエー ト さ れ ます。

こ の使用モデルは、 ク ワ ッ ド 内で複数の ト ラ ン シーバーがア ク テ ィ ブで、 いずれ も SDI イ ン タ ーフ ェ イ ス を実装 し てい る 一般的な例です。 ク ワ ッ ド 内のア ク テ ィ ブ な GTH RX お よ び TX ユニ ッ ト は、 QPLL0 ま たは QPLL1 か ら のシ リ アル ク ロ ッ ク を使用 し ます。図 5 に、 こ の使用例のモジ ュ ールを示 し ます。

こ の使用モデルでは、 SDI Wrapper Support が QPLL0 お よ び QPLL1 マ ス タ ー と し て指定 さ れ、 GTH Common Primitive の QPLL0RESET お よ び QPLL1RESET ポー ト を制御 し ます。 SDI Wrapper は QPLL リ セ ッ ト を制御 し ま せんが、 SDI Wrapper Support の QPLL0/QPLL1 ロ ッ ク 出力を モニ タ ー し ます。 次の接続が必要です。 • 基準 ク ロ ッ ク 148.5MHz および 148.35MHz を gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポー ト へそれぞれ 接続 し ます。 • gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポー ト は 0 に接続 し ます。 • gth_drpclk_in は、 GTH Wizard IP の生成中に指定 さ れた ク ロ ッ ク に接続 し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では 27MHz です。 • gth_wiz_reset_tx_pll_and_datapath_in お よ び gth_wiz_reset_rx_pll_and_datapath_in 入力ポー ト は、QPLL0 お よ び QPLL1 へ の基準 ク ロ ッ ク ソ ース が安定 し てい る場合のみ Low にな る必要があ り ます。

(13)

• SDI Wrapper Support お よ び SDI Wrapper の RXPLLCLKSEL_RX_M_1 パ ラ メ ー タ ーは、 2'b10 (QPLL1) に設定 し ます。 • SDI Wrapper Support お よ び SDI Wrapper の TXPLLCLKSEL_TX_M_0 パ ラ メ ー タ ーは、 2'b11 (QPLL0) に設定 し ます。 • SDI Wrapper Support お よ び SDI Wrapper の TXPLLCLKSEL_TX_M_1 パ ラ メ ー タ ーは、 2'b10 (QPLL1) に設定 し ます。 • 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL0 を リ セ ッ ト し なければな ら ない場合は、 SDI Wrapper Support の

gth_qpll0_reset_in 入力を アサー ト し ます。

• 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL1 を リ セ ッ ト し なければな ら ない場合は、 SDI Wrapper Support の gth_qpll1_reset_in 入力を アサー ト し ます。

• SDI Wrapper Support の qpll0/1_clk、 qpll0/1_refclk、 お よ び qpll0/1_lock 出力ポー ト は、 SDI Wrapper の対応す る ポー ト へ接続 し ます。

(14)

X-Ref Target - Figure 5 図 5 : PLL 使用モデル 3 およびモデル 4 ([WHUQDO5HIFON 6RXUFH TSOOBUHIFON TSOOBORFN 6',:UDSSHU TSOOBFON 43// TSOOBUHVHW ([WHUQDO5HIFON 6RXUFH ,%8)'6B*7( TSOOBUHIFON TSOOBORFN TSOOBFON 43// TSOOBUHVHW *7:L]DUG,3 6037( 8+'6', &RUH &RQWURO 0RGXOH W[XVUFON U[XVUFON JWKBUHVHWBDOO JWKBUHVHWBW[BSOOBDQGBGDWDSDWK JWKBUHVHWBU[BSOOBDQGBGDWDSDWK JWKBGUSFON 6',:UDSSHU6XSSRUW JWKBTSOOBUHVHWBLQ

JWKBTSOOBUHVHWBLQ W[SOOFONVHOBLQU[SOOFONVHOBLQ

6',:UDSSHU *7:L]DUG,3 6037( 8+'6', &RUH &RQWURO 0RGXOH W[XVUFON U[XVUFON JWKBUHVHWBDOO JWKBUHVHWBW[BSOOBDQGBGDWDSDWK JWKBUHVHWBU[BSOOBDQGBGDWDSDWK W[SOOFONVHOBLQ U[SOOFONVHOBLQ 6',:UDSSHU *7:L]DUG,3 6037( 8+'6', &RUH &RQWURO 0RGXOH W[XVUFON U[XVUFON JWKBUHVHWBDOO JWKBUHVHWBW[BSOOBDQGBGDWDSDWK JWKBUHVHWBU[BSOOBDQGBGDWDSDWK W[SOOFONVHOBLQ U[SOOFONVHOBLQ ,%8)'6B*7( *7+(B&20021

(15)

使用モデル 4 : 1 つのク ワ ッ ド 内で複数の ト ラ ン シーバーがア ク テ ィ ブで、 すべての 

RX が QPLL1 を使用 し 、 すべての TX が QPLL0 を使用する場合

図 5 に示す こ の使用モデルでには、 ク ワ ッ ド 内に複数のア ク テ ィ ブ ト ラ ン シーバーがあ り ます。 すべての レ シーバーは、 QPLL1 か ら ク ロ ッ ク 供給 さ れ ます。 各 ト ラ ン ス ミ ッ タ ーは、 QPLL0 か ら のみ ク ロ ッ ク 供給 さ れ ます。 次の接続が必要です。 • 基準 ク ロ ッ ク を gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポー ト へそれぞれ接続 し ます。 • gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポー ト は 0 に接続 し ます。 • gth_drpclk_in は、 GTH Wizard IP の生成中に指定 さ れた ク ロ ッ ク に接続 し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では 27MHz です。 • gth_wiz_reset_tx_pll_and_datapath_in 入力ポー ト は、 QPLL0 への基準 ク ロ ッ ク ソ ー ス が安定 し てい る 場合のみ Low に な る 必要があ り ます。 • gth_wiz_reset_rx_pll_and_datapath_in 入力ポー ト は、 QPLL1 への基準 ク ロ ッ ク ソ ー ス が安定 し てい る 場合のみ Low に な る 必要があ り ます。

• SDI Wrapper Support お よ び SDI Wrapper の RXPLLCLKSEL_RX_M_0 パ ラ メ ー タ ーは、 2'b10 (QPLL1) に設定 し ます。 • SDI Wrapper Support お よ び SDI Wrapper の RXPLLCLKSEL_RX_M_1 パ ラ メ ー タ ーは、 2'b10 (QPLL1) に設定 し ます。 • SDI Wrapper Support お よ び SDI Wrapper の TXPLLCLKSEL_TX_M_0 パ ラ メ ー タ ーは、 2'b11 (QPLL0) に設定 し ます。 • SDI Wrapper Support お よ び SDI Wrapper の TXPLLCLKSEL_TX_M_1 パ ラ メ ー タ ーは、 2'b11 (QPLL0) に設定 し ます。 • 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL0 を リ セ ッ ト し なければな ら ない場合は、 SDI Wrapper Support の

gth_qpll0_reset_in 入力を アサー ト し ます。

• 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL1 を リ セ ッ ト し なければな ら ない場合は、 SDI Wrapper Support の gth_qpll1_reset_in 入力を アサー ト し ます。

• SDI Wrapper Support の qpll0/1_clk、 qpll0/1_refclk、 お よ び qpll0/1_lock 出力ポー ト は、 SDI Wrapper の対応す る ポー ト へ接続 し ます。

使用モデル 5 : ク ワ ッ ド 内の 1 つの ト ラ ン シーバーがア ク テ ィ ブで、 RX は QPLL1 を

使用 し 、 TX は QPLL0/QPLL1 を動的に切 り 替え る場合

図 6 に示す こ の使用モデルでは、 QPLL が 1 つ し か使用 さ れないため、 TX お よ び RX では 1 つの 12G-SDI ビ ッ ト レー ト し かサポー ト さ れません。 6G-SDI の両方のビ ッ ト レー ト およ びそれ よ り 低い ラ イ ン レー ト は、 TX および RX でサポー ト さ れます。TX は、QPLL1 と CPLL と の間で切 り 替え可能ですが、RX は、 6.6Gbps のビ ッ ト レー ト で許容誤差が ±1250ppm の QPLL1 を使用 し ます。 次の接続が必要です。 • 1 つの基準 ク ロ ッ ク を gth_qpll1_refclk_p_in お よ び gth_qpll1_refclk_n_in ポー ト へ接続 し ます。 • 1 つの基準 ク ロ ッ ク を gth_cpll_refclk_p_in お よ び gth_cpll_refclk_n_in ポー ト へ接続 し ます。 • gth_qpll0_refclk_p_in お よ び gth_qpll0_refclk_n_in ポー ト は 0 に接続 し ます。 • gth_drpclk_in は、 GTH Wizard IP の生成中に指定 さ れた ク ロ ッ ク に接続 し ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では 27MHz です。 • gth_wiz_reset_tx_pll_and_datapath_in 入力ポー ト は、 QPLL1 お よ び CPLL への基準 ク ロ ッ ク ソ ー ス が安定 し てい る 場合 のみ Low にな る必要があ り ます。 • gth_wiz_reset_rx_pll_and_datapath_in 入力ポー ト は、 QPLL1 への基準 ク ロ ッ ク ソ ー ス が安定 し てい る 場合のみ Low に な る 必要があ り ます。

• SDI Wrapper Support の RXPLLCLKSEL_RX_M_0 パ ラ メ ー タ ーは、 2'b10 (QPLL1) に設定 し ます。 • SDI Wrapper Support の RXPLLCLKSEL_RX_M_1 パ ラ メ ー タ ーは、 2'b10 (QPLL1) に設定 し ます。

• SDI Wrapper Support の TXPLLCLKSEL_TX_M_0 パ ラ メ ー タ ーは、 2'b10 (QPLL1) ま たは 2'b00 (CPLL) に設定 し ます。 • SDI Wrapper Support の TXPLLCLKSEL_TX_M_1 パ ラ メ ー タ ーは、 基準 ク ロ ッ ク の接続に応 じ て 2'b00 (CPLL) ま たは

(16)

• 基準 ク ロ ッ ク の変更や中断に よ っ て QPLL1 を リ セ ッ ト し なければな ら ない場合は、 SDI Wrapper Support の gth_qpll1_reset_in 入力を アサー ト し ます。

SDI 電気的イ ン タ ー フ ェ イ ス

GTX ト ラ ン シーバーか ら /GTH ト ラ ン シーバーへ送信 さ れ る シ リ アル信号 を SDI の電気的規格へ変換す る には、 外部に SDI ケーブル イ コ ラ イ ザー と ケーブル ド ラ イ バーが必要です。 外部 SDI ケーブル イ コ ラ イ ザーを使用し 、 シ ン グルエン ド の 75 SDI 信号を GTH ト ラ ン シーバーのレ シーバー入力信号 要件に対応す る 50 差動信号へ変換する必要があ り ます。 複数の メ ーカーが、 それぞれに適切な SDI ケーブル イ コ ラ イ ザーを提供 し てい ます。 こ れ ら のケーブル イ コ ラ イ ザーの差動出力は、 同相電圧の差が生 じ る ため、 通常、 AC カ ッ プ リ ン グ を用いて GTH レ シーバー入力信号 と 接続する必要があ り ます。図 7 に、 標準的な SDI ケーブル イ コ ラ イ ザー と GTH レ シーバーの イ ン タ ーフ ェ イ ス例を示 し ます。 重要: 外部 SDI ケーブル イ コ ラ イザー と GTH RX のシ リ アル入力間の AC カ ッ プ リ ン グ キ ャパシ タ の電気容量値は、 SDI パ ソ ロ ジ カル信号を減衰 さ せ る こ と な く 渡すのに十分な大 き さ が必要です。 少な く と も 1.0F 以上の AC カ ッ プ リ ン グ キ ャ パシ タ が必要で、 推奨値は 4.7F です。 GTH RX の差動入力には、 ビル ト イ ン の差動終端があ り ます。 『UltraScale アーキ テ ク チ ャ GTH ト ラ ン シーバー ユーザー ガ イ ド 』 [参照 13] で説明 し てい る と お り 、 SDI アプ リ ケーシ ョ ン の GTH RX 入力の RX 終端使用モー ド は 3 が推奨 さ れて い ます。 SDI アプ リ ケーシ ョ ンの場合、 GTH の内部プ ロ グ ラ ム可能な終端電圧は 800mV に設定 し ます。 図 7 について説明 し ます。 X-Ref Target - Figure 6

図 6 : PLL の使用モデル 5 ([WHUQDO5HIFON 6RXUFH ,%8)'6B*7( 6',:UDSSHU ([WHUQDO5HIFON 6RXUFH ,%8)'6B*7( TSOOBUHIFON TSOOBORFN TSOOBFON 43// TSOOBUHVHW *7:L]DUG,3 6037( 8+'6', &RUH &RQWURO 0RGXOH W[XVUFON U[XVUFON JWKBUHVHWBDOO JWKBUHVHWBW[BSOOBDQGBGDWDSDWK JWKBUHVHWBU[BSOOBDQGBGDWDSDWK JWKBGUSFON 6',:UDSSHU6XSSRUW JWKBTSOOBUHVHWBLQ W[SOOFONVHOBLQ U[SOOFONVHOBLQ &3// 7; *7+(B&20021

X-Ref Target - Figure 7

図 7 : SDI ケーブル イ コ ラ イザー と  GTH レ シーバー入力のイ ン タ ー フ ェ イ ス —)W\S  <=G@     @ORJMF™ JII@>OJM ™JINPGOOC@ <=G@ H<IPA<>OPM@M„NDIAJMH<ODJIAJMOC@I@ORJMF=@OR@@IOC@  <=G@ <I?OC@>JII@>OJM| ё ё —)W\S P9

(17)

1. SDI ケーブル イ コ ラ イ ザー と BNC コ ネ ク タ 間のネ ッ ト ワ ー ク に関 し ては、 SDI ケーブル イ コ ラ イ ザーの メ ーカーが 提供す る 資料を参照 し て く だ さ い。

同様に、 GTH ト ラ ン ス ミ ッ ターの差動シ リ アル出力は、 SDI ケーブル ド ラ イ バーの入力へ接続 し 、 通常は AC カ ッ プ リ ン グ を用いて接続 し ます (図 8 参照)。 ケーブル ド ラ イ バーは、 電気的特性が SDI 仕様を満たす よ う に、 GTH ト ラ ン ス ミ ッ タ ーか ら の差動信号を シ ン グルエン ド 信号へ変換 し ます。 通常、 SDI ケーブル ド ラ イ バーには、 スルー レー ト を設定する ための ス ルー レー ト 制御入力があ り ます。 SD-SDI の スルー レー ト 要件は、 HD-SDI、 3G-SDI、 6G-SDI、 お よ び 12G-SDI の ス ルー レー ト 要件 と は大き く 異な り ます。 SDI ケーブル ド ラ イ バーの スルー レー ト 制御入力は通常 FPGA で制御 さ れ ますが、 こ のアプ リ ケーシ ョ ン ノ ー ト では、 12G-SDI FMC 拡張カー ド を使用 し てケーブル ド ラ イ バーの スルー レー ト を 内部制御 し てい ます。 こ のアプ リ ケーシ ョ ン ノ ー ト で提供する制御モジ ュールは、 その他の使用例で外部の SDI ケーブル ド ラ イ バーで使用す る ための スルー レー ト 制御入力を生成し ます。 重要: GTH TX シ リ アル出力 と 外部 SDI ケーブル ド ラ イバーの入力間の AC カ ッ プ リ ング キ ャパシ タ の電気容量値は、SDI パ ソ ロ ジ カル信号を減衰 さ せ る こ と な く 渡すのに十分な大 き さ が必要です。 少な く と も 1.0F 以上の AC カ ッ プ リ ン グ キ ャ パシ タ が必要で、 推奨値は 4.7F です。 図 8 について説明 し ます。 1. SDI ケーブル イ コ ラ イ ザー と BNC コ ネ ク タ 間のネ ッ ト ワ ー ク に関 し ては、 SDI ケーブル イ コ ラ イ ザーの メ ーカーが 提供す る 資料を参照 し て く だ さ い。

SD‐SDI の考察

SD‐SDI の受信

270Mb/s ビ ッ ト レー ト の SD-SDI は、 GTH RX でサポー ト さ れてい る 最低 ラ イ ン レ ー ト よ り も 低 く な り ま す。 270Mb/s の SD-SDI を受信す る には、 GTH RX を非同期オーバーサ ン プ ラ ー と し て使用 し 、 ビ ッ ト ト ラ ン ザ ク シ ョ ン が行われ る 場所 を問わずに 270Mb/s の 11 倍 (2.97 ギガサンプル/秒) で SD-SDI ビ ッ ト ス ト リ ーム をサンプ リ ン グ し ます。GTH RX の ク ロ ッ ク データ リ カバ リ (CDR) ユニ ッ ト が GTH ト ラ ン シーバーの rxcdrhold 入力ポー ト を High にアサー ト し 、 基準 ク ロ ッ ク に ロ ッ ク し ます。 こ れに よ り 、 CDR が低速な SD-SDI 信号に ロ ッ ク する こ と を防ぎ、 SD-SDI 信号のオーバーサンプ リ ン グ を よ り 一定 し て実行で き ます。 SD-SDI 信号を受信す る 際には、LPM (低電力モー ド ) の自動適応機能 と DFE (判定帰還等化) を無効にす る 必要があ り ます。 低 速 ビ ッ ト レ ー ト で の 長 い ラ ン レ ン グ ス は、 イ コ ラ イ ザ ー に 問 題 が 生 さ せ ま す。 LPM の 自動適応機能 は、 GTHE3_CHANNEL プ リ ミ テ ィ ブの次のポー ト を High にアサー ト し て無効化 し ます。 • RXLPMGCOVRDEN • RXLPMHFOVRDEN • RXLPMLFKLOVRDEN • RXLPMOSOVRDEN • RXOSOVRDEN

DFE イ コ ラ イ ゼーシ ョ ンは、 GTHE3_CHANNEL プ リ ミ テ ィ ブの次のポー ト を High にアサー ト し て無効化 し ます。 X-Ref Target - Figure 8

図 8 : SDI ケーブル  ド ラ イバー と  GTH  ト ラ ン ス ミ ッ タ ー出力のイ ン タ ー フ ェ イ ス  —)W\S —)W\S   @ORJMF™ JII@>OJM ™JINPGOOC@ <=G@MDQ@MH<IPA<>OPM@M„NDIAJMH<ODJIAJMOC@I@ORJMF=@OR@@IOC@  <=G@MDQ@M<I?OC@>JII@>OJM|  <=G@MDQ@M G@R <O@  JBD>

図 2 : UltraScale GTH  ト ラ ン シーバーのク ワ ッ ド  コ ン フ ィ ギュ レーシ ョ ンZ&amp;&gt;&lt;ŝƐƚƌŝďƵƚŝŽŶ7;*7+(B&amp;+$11(/5;&amp;3//*7+(B&amp;2002143//,%8)'6B*7(,%8)'6B*7(*7+(B&amp;2002143//7;*7+(B&amp;+$11(/5;&amp;3//7;*7+(B&amp;+$11(/5;&amp;3//7;*7+(B&amp;+$11
図 4 : PLL 使用モデル 1 およびモデル 2([WHUQDO5HIFON6RXUFH,%8)'6B*7(TSOOBUHIFONTSOOBORFN 6',:UDSSHUTSOOBFON43//TSOOBUHVHW([WHUQDO5HIFON6RXUFH,%8)'6B*7(TSOOBUHIFONTSOOBORFNTSOOBFON43//TSOOBUHVHW *7:L]DUG,3 6037( 8+'6',&amp;RUH&amp;RQWURO0RGXOHW[X
図 6 : PLL の使用モデル 5([WHUQDO5HIFON6RXUFH,%8)'6B*7( 6',:UDSSHU([WHUQDO5HIFON6RXUFH,%8)'6B*7(TSOOBUHIFONTSOOBORFNTSOOBFON43//TSOOBUHVHW *7:L]DUG,3 6037( 8+'6',&amp;RUH&amp;RQWURO0RGXOHW[XVUFONU[XVUFONJWKBUHVHWBDOOJWKBUHVHWBW[BSOOBDQGBGDWDSDWK
図 8 : SDI ケーブル  ド ラ イバー と  GTH  ト ラ ン ス ミ ッ タ ー出力のイ ン タ ー フ ェ イ ス—)W\S—)W\S@ORJMF™JII@&gt;OJM™JINPGOOC@
&lt;=G@MDQ@MH&lt;IPA&lt;&gt;OPM@M„NDIAJMH&lt;ODJIAJMOC@I@ORJMF=@OR@@IOC@
&lt;=G@MDQ@M&lt;I?OC@&gt
+7

参照

関連したドキュメント

The calibration problem for the Black-Scholes model was solved based on the S&amp;P500 data, and the S&amp;P 500 call and put option price data were interpreted in the framework

WMS 計量モジュールには RS232 インターフェイスおよび RS422 インターフェイスが装備されてい

注意: Dell Factory Image Restore を使用す ると、ハードディスクドライブのすべてのデ

The classical Schwarz-Christoffel formula gives conformal mappings of the upper half-plane onto domains whose boundaries consist of a finite number of line segments.. In this paper,

A bounded linear operator T ∈ L(X ) on a Banach space X is said to satisfy Browder’s theorem if two important spectra, originating from Fredholm theory, the Browder spectrum and

①物流品質を向上させたい ②冷蔵・冷凍の温度管理を徹底したい ③低コストの物流センターを使用したい ④24時間365日対応の運用したい

The fixed point index is a important tool in solving positive solutions of nonlinear equations m ordered Banach space.. So what nonlinear mapping could be defined a index theory

S ADDR Input Selects device address for the two−wire slave serial interface.. When connected to GND, the device ID