• 検索結果がありません。

7 シリーズ FPGA コンフィギュレーション ユーザー ガイド (UG470)

N/A
N/A
Protected

Academic year: 2021

シェア "7 シリーズ FPGA コンフィギュレーション ユーザー ガイド (UG470)"

Copied!
184
0
0

読み込み中.... (全文を見る)

全文

(1)

7

シ リ ーズ

FPGA

コ ン フ ィ ギ ュ レーシ ョ ン

ユーザー

ガ イ ド

UG470 (v1.9) 2014 年 11 月 14 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情

報につきましては、必ず最新英語版をご参照ください。

(2)

WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx’s limited warranty, please refer to Xilinx’s Terms of Sale which can be viewed at

www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx’s Terms of Sale which can be viewed at

www.xilinx.com/legal.htm#tos.

AUTOMOTIVE APPLICATIONS DISCLAIMER

XILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE, OR FOR USE IN ANY APPLICATION REQUIRING FAIL-SAFE PERFORMANCE, SUCH AS APPLICATIONS RELATED TO:(I) THE DEPLOYMENT OF AIRBAGS, (II) CONTROL OF A VEHICLE, UNLESS THERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICH DOES NOT INCLUDE USE OF SOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND A WARNING SIGNAL UPON FAILURE TO THE OPERATOR, OR (III) USES THAT COULD LEAD TO DEATH OR PERSONAL INJURY.CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USE OF XILINX PRODUCTS IN SUCH APPLICATIONS.

© Copyright 2011–2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners. こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、jpn_trans_feedback@xilinx.comま で、 ま たは各 ページの右下にあ る [フ ィ ー ド バ ッ ク 送信] ボ タ ン を ク リ ッ ク す る と 表示 さ れ る フ ォームか ら お知 ら せ く だ さ い。いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ールア ド レ スへのお問い合わせは受け付けてお り ません。 あ ら か じ めご了承 く だ さ い。

改訂履歴

次の表に、 こ の文書の改訂履歴を示 し ます。 日付 バージ ョ ン 内容 2011 年 3 月 1 日 1.0 初版 2011 年 3 月 28 日 1.1 第 1 章 : セ ク シ ョ ン名 「新 し い機能」 を 「7 シ リ ーズ FPGA の特徴」 に変更。 最初 の箇条書 き 項目に注記を追加。4 番目の箇条書 き 項目に最後の 1 文を追加。 第 2 章 : 「設計に関す る 考察事項」 セ ク シ ョ ン を明確化。「 コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ームの長 さ 」セ ク シ ョ ン と表1-1を追加。「 コ ン フ ィ ギ ュ レーシ ョ ン ピ ン」セ ク シ ョ ン と表2-2、表2-3、表2-4を追加。「 コ ン フ ィ ギ ュ レーシ ョ ン バン ク 電圧セ レ ク ト 」セ ク シ ョ ン を第 1 章か ら 第 2 章へ移動。表2-9を追加。図2-2、図2-5、図2-12、 図2-16、図2-19に信号 CFGBVS を追加。

(3)

• VCC_CONFIG を VCCO_0 に変更 • 表1-1に Virtex-7 フ ァ ミ リ を追加 • 「ス タ ッ ク ド シ リ コ ン イ ン タ ー コ ネ ク ト (SSI)」 セ ク シ ョ ン を追加 第2章 「 コ ン フ ィ ギ ュ レーシ ョ ンイ ン タ ーフ ェ イ ス」 • 表2-2の ピ ン名 D[04-07] お よ び D[08-15] を訂正 • 表2-4に DONE ピ ンの機能に関す る 注記を追加 • 表2-4の DONE ピ ンへの相互参照を次に追加。表2-7、図2-2の注記 2、表2-8、 図2-5の注記 3、図2-12、図2-12の注記 1、図2-16の注記 8、図2-19の注記 8、 図2-17の タ イ ト ルを変更。 • 「同期読み出 し モー ド のサポー ト 」 の 2 つ目の段落の最初の文で、 マ ス タ ー BPI 同期読み出 し モー ド でサポー ト さ れ る フ ァ ミ リ を更新 • 「最大コ ンフ ィ ギ ュ レーシ ョ ンク ロ ッ ク周波数の決定」で、BitGen の -g BPI_sync_mode オプシ ョ ンの説明を加筆 • 「最大 コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク 周波数の決定」の最初の段落で BitGen の ConfigRate 設定に関す る 説明を明確化 し 、CCLK 周波数を訂正。 ま た、 箇条書 き 項目の ADDR の範囲を訂正 第5章 「 コ ン フ ィ ギ ュ レーシ ョ ンの詳細」 • VCC_CONFIG を VCCO_0 に変更 • BPI 非同期お よ び同期読み出 し モー ド の説明を明確化 • 「ス タ ー ト ア ッ プ (手順 8)」で DONE ピ ンの機能の説明を訂正 し 、表2-4の DONE ピ ンへの リ ン ク を追加 • 表5-12の注記 2 で BitGen の DriveDone オプシ ョ ンのサポー ト に関す る 説明を 更新 • 「JTAG 命令」 で JTAG 命令レ ジ ス タ に関す る 説明を明確化 • 表5-38で WRAP_ERROR_1 と WRAP_ERROR_0 に関す る 説明を明確化 第8章 「 リ ー ド バ ッ ク CRC」 • 表8-1で ク ロ ッ ク ソ ースプ リ ミ テ ィ ブの ICAPE2 お よ び STARTUPE2 の名称を 訂正

2012 年 2 月 3 日 1.3 表1-1を変更。表2-2の 「マ ス タ ー SPI x4」 の列に Init_B、DONE、CCLK の ピ ン 名を追加。「マ ス タ ー SPI コ ン フ ィ ギ ュ レーシ ョ ン モー ド 」 で iMPACT オン ラ イ ン ヘルプへの URL リ ン ク を追加。「最大 コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク 周波数の決 定」 を追加。表5-16を追加。

(4)

「概要」で、 プルア ッ プ/プルダ ウ ン抵抗値を 「4.7Ω」 か ら 「1kΩま たはそれ以上」 に 変更。 タ イ ト ルの 「7 シ リ ーズ FPGA の特徴」 を「7 シ リ ーズ FPGA と 旧世代 FPGA の コ ン フ ィ ギ ュ レ ー シ ョ ン の違い」 に変更。 こ の タ イ ト ルの文章の中で、 注記の 「D00」 を 「D0」 に変更、4 番目の箇条書 き の説明を変更、6 番目お よ び 7 番目の箇 条書 き を追加、 最後の段落を追加。表1-1を差 し 替え。「不正な複製か ら FPGA ビ ッ ト ス ト リ ーム を保護す る 」 の 2 番目の段落か ら 「固有」 と い う 言葉を削除 し て説明 を変更。「同 じ コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ーム を複数の FPGA に読み込 む」 の説明の最後に文章を追加。「ス タ ッ ク ド シ リ コ ン イ ン タ ー コ ネ ク ト (SSI)」 の 最初の 2 つの段落の説明を変更。表2-4で、CFGBVS、TDO、PROGRAM_B、CCLK、

PUDC_B、CSO_B、 お よ び DOUT の説明を変更。表2-5お よ び表2-9の「 コ ン フ ィ ギ ュ レーシ ョ ンバン ク 電圧セ レ ク ト 」 セ ク シ ョ ンで、 説明を変更。表2-7、表2-8、

表2-12、 表2-15 で PUDC_B の説明を変更。図2-4に 「RS[1:0]」 を追加。 参照先 を XAPP974 か ら XAPP586 に、 お よ び XAPP502 か ら XAPP583 に変更。「マ ス タ ー SPI コ ン フ ィ ギ ュ レ ーシ ョ ン モー ド 」 で、2 番目の段落の最後に文章を追加、 「フ ラ ッ シ ュ の タ イ ミ ン グ」 を 「x1 モー ド シーケ ン ス」 に変更。図2-13に関す る 注 記を追加。「128Mb を超え る SPI のサポー ト 」 で、 最後の段落を追加。「同期読み出 し モー ド のサポー ト 」 で、4 番目お よ び 5 番目の段落を追加。「バ ウ ン ダ リ ス キ ャ ン に よ る コ ン フ ィ ギ ュ レーシ ョ ン」 で、 最後の段落を追加。「デバ イ ス の電源投入 (手 順 1)」 で、 最初お よ び最後の段落に VCCBRAMを追加、 最後の段落を削除。図5-4 を変更。表5-12で、GWE の説明を変更、 注記 3 を追加。「暗号化ビ ッ ト ス ト リ ー ムの読み込み」 で、 「PROG」 を 「PROGRAM_B」 に変更。「ビ ッ ト ス ト リ ームの暗 号化お よ び内部 コ ン フ ィ ギ ュ レーシ ョ ン ア ク セ ス ポー ト (ICAPE2)」 の最初の段落 の説明を変更。表5-16で、「eFUSE 制御レ ジ ス タ (FUSE_CNTL)」 のビ ッ ト 位置お よ び関連す る 説明を変更。タ イ ト ルを 「7 シ リ ーズ FPGA のデバ イ ス固有 ID (Device DNA)」 か ら 「デバ イ ス ID お よ び Device DNA」に変更、 最初の段落の説明を変更、

2 番目の段落を追加。「JTAG に よ る Device DNA お よ び ID へのア ク セ ス」 の最初 の段落で、最後の 2 つの文章を追加。第6章 「 リ ー ド バ ッ ク お よ び コ ン フ ィ ギ ュ レー シ ョ ンの検証」 で、 最初の段落の説明を変更、5 番目の段落を追加。「フ ォールバ ッ ク マ ルチ ブー ト 」 で、SPI 32 ビ ッ ト ア ド レ ス モー ド の サ ポー ト の例外 を 追加。

「IPROG」 で、 最初の段落の 「PROG」 を 「PROGRAM_B」 に変更。図7-3のア ド レ ス ビ ッ ト を更新。

2012 年 11 月 2 日 1.5 表1-1か ら XC7A350T、XC7V1500T、お よ び XC7VH290T デバ イ ス を削除。表1-1

で、XC7VH580T お よ び XC7VH870T デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ー ム の長 さ (ビ ッ ト) を 変更。表5-13 で、RBCRC_EN の ビ ッ ト 値 を 修正。

第7章 「 リ コ ン フ ィ ギ ュ レーシ ョ ンお よ びマルチブー ト 」 で、 フ ォールバ ッ ク 機能 で自動的に有効にな る Reset On Error を削除。表2-4の DIN ピ ンお よ び D[00-31]

ピ ンの説明を更新。次の表を削除 : 「7 シ リ ーズ FPGA のシ リ アル コ ン フ ィ ギ ュ レー シ ョ ン イ ン タ ーフ ェ イ ス で使用す る ピ ン」、 「7 シ リ ーズ FPGA の SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス で使用す る ピ ン」、 「7 シ リ ーズ FPGA の SPI コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス で使用す る ピ ン」 、 お よ び 「7 シ リ ーズ FPGA のマ ス タ ー BPI コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス で使用す る ピ ン」。 「同期読み出 し モー ド のサポー ト 」 の 5 番目の段落を更新。「ゴールデン イ メ ージ と マルチブー ト イ メ ージの設計要件」 お よ び「最初にマルチブー ト イ メ ージ を使用す る 場合の設計上の注意点」 の箇条書 き を更新。

(5)

フ ィ ギ ュ レーシ ョ ンの違い」 で、 最後の段落お よ び注記を追加)。表1-1のデバ イ ス 番号を簡略化。XC7V2000T デバ イ ス JTAG IDCODE を修正 (表1-1に注記 2 を追 加)。表5-1のセルの タ イ ト ルを 「ザ イ リ ン ク ス ソ フ ト ウ ェ アツール」 か ら 「ザ イ リ ン ク ス ツール」 に変更。 特定の eFUSE セキ ュ リ テ ィ オプシ ョ ンに よ っ て課せ ら れ る 制 限 を 記 載 (表5-16 の 最 初 の 列 に 注 意 書 き を 追 加、「eFUSE 制 御 レ ジ ス タ (FUSE_CNTL)」 の 2 番目か ら 最後の段落ま で を注意書 き に置 き 換え)。

2013 年 10 月 22 日 1.7 7A35T、7A50T、7A75T デバ イ ス を追加。文書全体で CFGBVS の説明を更新 (Artix-7 お よ び Kintex-7 デバ イ ス では、CFGBVS に よ っ てバン ク 14 お よ び 15 でサポー ト さ れ る I/O 電圧が決ま る)。SPI 32 ビ ッ ト のア ド レ スモー ド でサポー ト さ れていな い フ ォールバ ッ ク への リ フ ァ レ ン ス を削除。

2014 年 8 月 22 日 1.8 表1-1に、Production IDCODE リ ビ ジ ョ ンお よ びほかの Artix-7 デバ イ ス を追加。

表1-2を追加。関連 ド キ ュ メ ン ト の リ ン ク を追加ま たは リ ン ク を更新。第1章の 「 コ ン フ ィ ギ ュ レーシ ョ ンのデバ ッ グ」 を追加。第2章の 「SelectMAP の ABORT」 を 追加。 資料全体で、第2章の 「 コ ン フ ィ ギ ュ レーシ ョ ンバン ク 電圧セ レ ク ト 」 お よ び CFGBVS の説明を更新。表2-6を FPGA フ ァ ミ リ 別に 3 つの表に分割。図2-9 を変更。「Vivado ツールで コ ン フ ィ ギ ュ レーシ ョ ン オプシ ョ ン を設定す る 」 お よ び 「外部マ ス タ ーコ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク (EMCCLK) オプシ ョ ン」 を追加。 図2-11に注記を追加。表2-14で、 パ ラ レル NOR フ ラ ッ シ ュ フ ァ ミ リ にサポー ト さ れ る デバ イ ス を追加。第3章の 「電源供給」 を変更。表5-1に Vivado Tcl コ マ ン ド を追加。第5章の 「STARTUPE2 プ リ ミ テ ィ ブ」 に、STARTUPE2 プ リ ミ テ ィ ブ と 関連す る ス タ ー ト ア ッ プの説明を追加。第5章の 「ビ ッ ト ス ト リ ーム の構成」 を 追加。「Persist オプシ ョ ン」お よ び「SelectMAP イ ン タ ーフ ェ イ ス か ら コ ン フ ィ ギ ュ レーシ ョ ン レ ジ ス タ へのア ク セ ス」を追加。「 コ ン フ ィ ギ ュ レーシ ョ ンモニ タ ーモー ド 」お よ び第7章の「サンプルデザ イ ン」を追加。第9章「複数デバ イ ス の SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン」 お よ び第10章 「ア ド バン ス JTAG の使用法」 を追加。 資 料全体で コ ン フ ィ ギ ュ レーシ ョ ンの説明を更新。 2014 年 11 月 14 日 1.9 Tcl 制約 コ マ ン ド を大文字に変更。表1-1に Artix-7 7A15T デバ イ ス を追加。表1-1 の Virtex-7 7VH580T お よ び 7VH870T で TBD を 「2 ま たはそれ以降」 に変更。「マ ス タ ー BPI コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス」 セ ク シ ョ ンに 7A15T デバ イ ス を追加。図2-7に関連す る 注記の手順 9 の最後に 2 文を追加。表5-40の タ イ ト ルを 「制御レ ジ ス タ 1 (CTL1)」 か ら 「BPI/SPI コ ン フ ィ ギ ュ レーシ ョ ンオプシ ョ ン レ ジ ス タ 」 に変更。「RS ピ ン」 の最初の文章を変更。図9-5に関連す る 注記の手順 5 に 2 つ目の文章を追加。図10-3を マ イ ナー変更。表10-4の コ マ ン ド 7 と 8 を削 除 し て、 ス テ ッ プ 19 に 「オプシ ョ ン」 を追加。表10-4の注記 3 の説明を明確化。 2015 年 7 月 6 日 1.9 訳語の修正 • 「7 シ リ ーズ FPGA は 16 ビ ッ ト 幅の コ ン フ ィ ギ ュ レーシ ョ ンモー ド で AES 暗 号化を サポー ト し てい ま すが、 こ の図では使用 し てい ま せん」 → 「7 シ リ ーズ FPGA は 16 ビ ッ ト 幅の コ ン フ ィ ギ ュ レ ー シ ョ ン モー ド で AES 復号化 を サ ポー ト し てい ますが、 こ の図では使用 し てい ません」 • 「・・・AES 暗号化に加え、 多重のセキ ュ リ テ ィ を実現 し てい ます。 」 → 「・・・AES 復号化に加え、 多重のセキ ュ リ テ ィ を実現 し てい ます。」 • 「・・・お よ び暗号化 さ れた ビ ッ ト ス ト リ ームの ワ ー ド 数の情報のみが来ます。」 → 「・・・お よ び復号化 さ れた ビ ッ ト ス ト リ ームの ワ ー ド 数の情報のみが来ます。」

(6)
(7)

改訂履歴. . . 2

こ のユーザー

ガ イ ド について

9

内容 . . . 9 その他の リ ソ ース . . . 9

1

:

コ ン フ ィ ギ ュ レーシ ョ ンの概要

概要 . . . 11 7 シ リ ーズ FPGA と 旧世代 FPGA の コ ン フ ィ ギ ュ レーシ ョ ンの違い . . . 12 設計に関す る 考察事項. . . 13 コ ン フ ィ ギ ュ レーシ ョ ン方法を決定す る 要素 . . . 19 ス タ ッ ク ド シ リ コ ン イ ン タ ー コ ネ ク ト (SSI) . . . 19 コ ン フ ィ ギ ュ レーシ ョ ンのデバ ッ グ. . . 21

2

:

コ ン フ ィ ギ ュ レーシ ョ ン

イ ン タ ー フ ェ イ ス

コ ン フ ィ ギ ュ レーシ ョ ン ピ ン. . . 23 シ リ アルコ ン フ ィ ギ ュ レーシ ョ ンモー ド . . . 40 SelectMAP コ ン フ ィ ギ ュ レーシ ョ ンモー ド . . . 44 SelectMAP の ABORT . . . 52 マ ス タ ー SPI コ ン フ ィ ギ ュ レーシ ョ ン モー ド. . . 55 マ ス タ ー BPI コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス . . . 61 JTAG イ ン タ ーフ ェ イ ス. . . 70

3

:

バウ ン ダ リ

スキ ャ ンおよび

JTAG

コ ン フ ィ ギ ュ レーシ ョ ン

は じ めに. . . 71 IEEE 1149.1 を使用 し た 7 シ リ ーズ デバ イ ス のバ ウ ン ダ リ ス キ ャ ン. . . 71 バ ウ ン ダ リ ス キ ャ ンデザ イ ンの考察事項 . . . 74

4

:

ダ イ ナ ミ ッ ク

リ コ ン フ ィ ギ ュ レーシ ョ ン

ポー ト

(DRP)

フ ァ ン ク シ ョ ンブ ロ ッ ク のダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン . . . 77

5

:

コ ン フ ィ ギ ュ レーシ ョ ンの詳細

コ ン フ ィ ギ ュ レーシ ョ ンデー タ フ ァ イ ルの形式. . . 81 メ モ リ フ ァ イ ルの生成 . . . 83 コ ン フ ィ ギ ュ レーシ ョ ン シーケ ン ス . . . 87 ビ ッ ト ス ト リ ームのセキ ュ リ テ ィ. . . 98 eFUSE . . . 103 ビ ッ ト ス ト リ ームの構成. . . 106 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ フ レーム . . . 110 コ ン フ ィ ギ ュ レーシ ョ ンパケ ッ ト . . . 110

(8)

6

:

リ ー ド バ ッ ク および コ ン フ ィ ギ ュ レーシ ョ ンの検証

リ ー ド バ ッ ク を実行す る ためのデザ イ ンの準備. . . 131 リ ー ド バ ッ ク コ マ ン ド シーケ ン ス. . . 132 リ ー ド バ ッ クデー タ の検証 . . . 141 リ ー ド バ ッ クキ ャ プチ ャ . . . 144

7

:

リ コ ン フ ィ ギ ュ レーシ ョ ンおよび

マルチ ブー ト

フ ォールバ ッ ク マルチブー ト. . . 145 IPROG リ コ ン フ ィ ギ ュ レーシ ョ ン . . . 149 フ ォールバ ッ ク お よ び IPROG リ コ ン フ ィ ギ ュ レーシ ョ ンに関連す る ス テー タ ス レ ジ ス タ. . . . 152 ウ ォ ッ チ ド ッ グ . . . 153 サンプルデザ イ ン. . . 155

8

:

リ ー ド バ ッ ク

CRC

SEU の検出 . . . 158 SEU の訂正 . . . 159

9

:

複数

FPGA

の コ ン フ ィ ギ ュ レーシ ョ ン

シ リ アルデ イ ジーチ ェ ーンコ ン フ ィ ギ ュ レーシ ョ ン . . . 161 ギ ャ ン グシ リ アル コ ン フ ィ ギ ュ レーシ ョ ン . . . 163 複数デバ イ ス の SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン. . . 165 パ ラ レルデ イ ジーチ ェ ーンコ ン フ ィ ギ ュ レーシ ョ ン . . . 166 ギ ャ ン グ SelectMAP コ ン フ ィ ギ ュ レーシ ョ ン . . . 167

10

:

ア ド バン ス

JTAG

の使用法

は じ めに. . . 171 JTAG コ ン フ ィ ギ ュ レーシ ョ ン/リ ー ド バ ッ ク. . . 171

(9)

ザ イ リ ン ク ス 7 シ リ ーズ FPGA には、3 つの FPGA フ ァ ミ リ があ り ます。 こ れ ら はすべて最 も 低 い消費電力を達成す る よ う 設計 さ れてお り 、 最適な電力、 性能、 コ ス ト の実現に向けて、 標準デザ イ ン を フ ァ ミ リ 間で拡張 さ せ る こ と が可能です。Artix®-7 フ ァ ミ リ は、 量産アプ リ ケーシ ョ ン向け に開発 さ れ、 最 も 低い コ ス ト と 消費電力を実現す る よ う 最適化 さ れてい ます。Virtex®-7 フ ァ ミ リ は、 最高のシ ス テ ム性能 と 容量を提供す る よ う に最適化 さ れてい ます。Kintex®-7 フ ァ ミ リ は、 対 コ ス ト 性能に最 も 優れた新 し い ク ラ ス の FPGA です。 こ のユーザーガ イ ド は、7シ リ ーズ FPGA の コ ン フ ィ ギ ュ レーシ ョ ンについて説明 し た技術的な リ フ ァ レ ン ス です。 こ の 『7 シ リ ーズ FPGA コ ン フ ィ ギ ュ レーシ ョ ンユーザーガ イ ド 』 を含む、7 シ リ ーズ FPGA に 関す る すべての資料は、 ザ イ リ ン ク ス の ウ ェ ブサ イ ト (japan.xilinx.com/7) か ら 入手で き ます。

内容

こ のユーザーガ イ ド は、 次の各章で構成 さ れてい ます。 • 第1章 「 コ ン フ ィ ギ ュ レーシ ョ ンの概要」 • 第2章 「 コ ン フ ィ ギ ュ レーシ ョ ンイ ン タ ーフ ェ イ ス」 • 第3章 「バ ウ ン ダ リ ス キ ャ ンお よ び JTAG コ ン フ ィ ギ ュ レーシ ョ ン」 • 第4章 「ダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン ポー ト (DRP)」 • 第5章 「 コ ン フ ィ ギ ュ レーシ ョ ンの詳細」 • 第6章 「 リ ー ド バ ッ ク お よ び コ ン フ ィ ギ ュ レーシ ョ ンの検証」 • 第7章 「 リ コ ン フ ィ ギ ュ レーシ ョ ンお よ びマルチブー ト 」 • 第8章 「 リ ー ド バ ッ ク CRC」 • 第9章 「複数 FPGA の コ ン フ ィ ギ ュ レーシ ョ ン」 • 第10章 「ア ド バン ス JTAG の使用法」

その他の リ ソ ース

その他の資料は、 ザ イ リ ン ク ス の ウ ェ ブサ イ ト か ら 入手で き ます。 http://japan.xilinx.com/support/documentation/index.htm シ リ コ ンや ソ フ ト ウ ェ ア、IP に関す る ア ンサーデー タ ベース を検索 し た り 、 テ ク ニ カルサポー ト の ウ ェ ブケース を開 く 場合は、 次の ウ ェ ブサ イ ト にア ク セ ス し て く だ さ い。 http://japan.xilinx.com/support

(10)
(11)

コ ン フ ィ ギ ュ レーシ ョ ンの概要

こ の章では、7 シ リ ーズ FPGA の コ ン フ ィ ギ ュ レーシ ョ ン方法 と 機能について簡単に概要を説明 し ます。 詳細については、 次章以降で説明 し ます。 こ の章で説明す る コ ン フ ィ ギ ュ レーシ ョ ン方法 と 機能は、 一部の例外を除いて 7 シ リ ーズのすべての フ ァ ミ リ に共通です。

概要

ザ イ リ ン ク ス 7 シ リ ーズ FPGA は、アプ リ ケーシ ョ ン固有の コ ン フ ィ ギ ュ レーシ ョ ンデー タ (ビ ッ ト ス ト リ ーム) を内部 メ モ リ に読み込んで コ ン フ ィ ギ ュ レーシ ョ ン を行い ます。 コ ン フ ィ ギ ュ レー シ ョ ンの方法は、7 シ リ ーズ FPGA 自身が外部不揮発性 メ モ リ デバ イ ス か ら ビ ッ ト ス ト リ ーム を読 み込む方法 と 、 マ イ ク ロ プ ロ セ ッ サ、DSP プ ロ セ ッ サ、 マ イ ク ロ コ ン ト ロ ー ラ ー、PC、 ボー ド テ ス タ ーな ど か ら 読み込む方法があ り ます。 いずれの方法で も 、 コ ン フ ィ ギ ュ レーシ ョ ンに使用す る デー タ パス には大 き く 2 つの種類があ り ます。1 つはシ リ アルデー タ パ ス で、 デバ イ ス ピ ン要件を 最小限に抑えたい場合に使用 し ます。 も う 1 つは 8 ビ ッ ト 、16 ビ ッ ト 、 ま たは 32 ビ ッ ト のデー タ パ ス で、 高性能、 業界標準の イ ン タ ーフ ェ イ スへの接続に使用 さ れ、 プ ロ セ ッ サや x8 ま たは x16 パ ラ レルフ ラ ッ シ ュ メ モ リ な ど の外部デー タ ソ ース に理想的です。 プ ロ セ ッ サやプ ロ セ ッ サペ リ フ ェ ラ ルの よ う に、 ザ イ リ ン ク ス FPGA は、 イ ン シ ス テ ム で必要に 応 じ て何度で も 再プ ロ グ ラ ムで き ます。 ザ イ リ ン ク ス FPGA の コ ン フ ィ ギ ュ レーシ ョ ンデー タ は CMOS コ ン フ ィ ギ ュ レーシ ョ ン ラ ッ チ (CCL) に格納 さ れ る ため、 い っ たん電源を切断す る と コ ン フ ィ ギ ュ レーシ ョ ンが必要です。 ビ ッ ト ス ト リ ームは、 毎回専用の コ ン フ ィ ギ ュ レーシ ョ ンピ ンか ら デバ イ ス に読み込まれます。 こ れ ら の コ ン フ ィ ギ ュ レーシ ョ ン ピ ンは、 次の よ う な コ ン フ ィ ギ ュ レーシ ョ ン モー ド で イ ン タ ーフ ェ イ ス と し て機能 し ます。 • マ ス タ ーシ リ アル コ ン フ ィ ギ ュ レーシ ョ ンモー ド • ス レーブシ リ アル コ ン フ ィ ギ ュ レーシ ョ ンモー ド • マ ス タ ー SelectMAP (パ ラ レル) コ ン フ ィ ギ ュ レーシ ョ ンモー ド (x8、x16) • ス レーブ SelectMAP (パ ラ レル) コ ン フ ィ ギ ュ レーシ ョ ンモー ド (x8、x16、x32) • JTAG/バ ウ ン ダ リ ス キ ャ ンコ ン フ ィ ギ ュ レーシ ョ ン モー ド • マ ス タ ー SPI (シ リ アルペ リ フ ェ ラ ルイ ン タ ーフ ェ イ ス) フ ラ ッ シ ュ コ ン フ ィ ギ ュ レーシ ョ ン モー ド (x1、x2、x4) • マ ス タ ー BPI (バ イ ト ペ リ フ ェ ラ ル イ ン タ ーフ ェ イ ス) フ ラ ッ シ ュ コ ン フ ィ ギ ュ レーシ ョ ン モー ド 、 パ ラ レル NOR フ ラ ッ シ ュ を使用 (x8、x16) コ ン フ ィ ギ ュ レーシ ョ ン モー ド の詳細は、第2章 「 コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス」を 参照 し て く だ さ い。 コ ン フ ィ ギ ュ レーシ ョ ン モー ド は、 専用モー ド 入力ピ ン M[2:0] で レベルを設定 し て選択 し ま す。 M2、M1、 お よ び M0 モー ド ピ ンは、 一定 し た DC 電圧 レベルで設定す る 必要があ り ま す。 こ れ

(12)

は、 プルア ッ プ ま たはプルダ ウ ン抵抗 (1kΩ以下) を使用す る か、GND ま たは VCCO_0に直接接続 し て設定 し ます。 モー ド ピ ンは、 コ ン フ ィ ギ ュ レーシ ョ ン中お よ び コ ン フ ィ ギ ュ レーシ ョ ン後 ト グ ルで き ません。 モー ド ピ ン の設定オプシ ョ ンは、第2章 「 コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス」 を参照 し て く だ さ い。 「マ ス タ ー」 お よ び 「ス レーブ」 と い う 表現は、 コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク (CCLK) の方向 を示 し ます。 • マ ス タ ー コ ン フ ィ ギ ュ レーシ ョ ン モー ド では、7 シ リ ーズ デバ イ ス が内部オシ レー タ ーか ら の CCLK を駆動 し ます。 周波数を選択す る には、 ビ ッ ト ス ト リ ームの-g ConfigRateオプ

シ ョ ン を使用 し ます。ISE Design Suite の こ のオプシ ョ ンの詳細は、『ISE コ マ ン ド ラ イ ン ツー ルユーザーガ イ ド 』 (UG628) の 「BitGen」 を参照 し て く だ さ い。Vivado Design Suite の こ の オ プ シ ョ ン の詳細は、 『Vivado Design Suite ユーザーガ イ ド : プ ロ グ ラ ム お よ びデバ ッ グ』

(UG908) の 「デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ーム設定」 を参照 し て く だ さ い。 コ ン フ ィ ギ ュ レーシ ョ ン完了後は、PERSIST オプシ ョ ン を選択 し てい る 場合ま たは SEU 検出 機能を使用 し てい る 場合を除 き 、CCLK はオ フ にな り ま す。 詳細は、第6章の 「Persist オプ シ ョ ン」 を参照 し て く だ さ い。CCLK ピ ンは弱いプルア ッ プ抵抗に よ っ て ト ラ イ ス テー ト 状態 にな り ます。 • ス レーブ コ ン フ ィ ギ ュ レーシ ョ ンモー ド では、CCLK は入力です。 JTAG/バ ウ ン ダ リ ス キ ャ ンコ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ スは、 モー ド ピ ンの設定にか かわ ら ず、 常に使用可能です。

7

シ リ ーズ

FPGA

と 旧世代

FPGA

の コ ン フ ィ ギ ュ レーシ ョ ンの違い

7 シ リ ー ズ デバ イ ス が サ ポ ー ト す る コ ン フ ィ ギ ュ レ ー シ ョ ン イ ン タ ー フ ェ イ ス は、 マ ス タ ー

BPI-Down モー ド を除いて Virtex®-6 FPGA と 同様です。 マ ス タ ー BPI-Down モー ド は 7 シ リ ー ズ FPGA ではサポー ト さ れてい ません。 ま た、 コ ン フ ィ ギ ュ レーシ ョ ン時間を短縮す る ために一部 の コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス に次の よ う な機能が追加 さ れてい ます。

• Spartan®-6 FPGA のマ ス タ ー SPI コ ン フ ィ ギ ュ レーシ ョ ン モー ド と 同様、 最大 4 ビ ッ ト 幅の デー タバ ス を使用 し た SPI フ ラ ッ シ ュ か ら の読み込みをサポー ト し ます。

注記 : 7 シ リ ーズでは、x2 お よ び x4 SPI デー タ 幅をサポー ト す る ために DIN ピ ン機能が D01

コ ン フ ィ ギ ュ レーシ ョ ンデー タ バ ス ピ ン と 共用の多目的ピ ンに割 り 当て ら れてい ます。 こ れ は、DIN が専用ピ ンであ っ た Virtex-6 FPGA と は異な り ます。 ま た、DIN が D0 コ ン フ ィ ギ ュ レーシ ョ ンデー タ バ ス ピ ン と 共用の多目的ピ ンに割 り 当て ら れていた Spartan-6 FPGA と も 異な り ます。 • マ ス タ ー SPI コ ン フ ィ ギ ュ レーシ ョ ン モー ド で立ち下が り エ ッ ジでのデー タ ク ロ ッ キ ン グ を サポー ト し ます。 こ れに よ り ク ロ ッ ク 周期を よ り 効率的に利用 し て コ ン フ ィ ギ ュ レーシ ョ ン を 短時間で完了で き る よ う にな り ます。 • マ ス タ ー SPI コ ン フ ィ ギ ュ レーシ ョ ン モー ド で 128Mb を超え る フ ラ ッ シ ュ メ モ リ をサポー ト し ます。

• マ ス タ ー BPI コ ン フ ィ ギ ュ レーシ ョ ンモー ド で、BPI (パ ラ レル NOR) フ ラ ッ シ ュ か ら の読み 出 し 時に同期バー ス ト 読み出 し モー ド を サポー ト し ま す。ADV_B ピ ン は Virtex-6 FPGA の

BPI イ ン タ ー フ ェ イ ス に対す る 新 し い ピ ン で、BPI 同期読み出 し モー ド に必要な ア ド レ ス の ラ ッ チをサポー ト し ます。

• AES 復号化機能が最大 16 ビ ッ ト の コ ン フ ィ ギ ュ レーシ ョ ンデー タバ ス幅をサポー ト し ます。

• Virtex-6 と 比べ る と 、7 シ リ ーズ FPGA の SelectMAP モー ド お よ び ICAPE2 プ リ ミ テ ィ ブに は BUSY ピ ン/ポー ト があ り ません。SelectMAP/ICAPE2 出力は確定的であ る ため、7 シ リ ー

(13)

ズには BUSY は必要あ り ま せん (132ページの 「SelectMAP イ ン タ ーフ ェ イ ス か ら コ ン フ ィ ギ ュ レーシ ョ ンレ ジ ス タ へのア ク セ ス」 参照)。

• コ ン フ ィ ギ ュ レーシ ョ ンお よ びバ ウ ン ダ リ ス キ ャ ン コ ン ポーネ ン ト (プ リ ミ テ ィ ブ) の詳細 は、 『Vivado Design Suite 7 シ リ ーズ FPGA お よ び Zynq-7000 All Programmable SoC ラ イ ブ ラ リ ガ イ ド 』 (UG953) を参照 し て く だ さ い。7 シ リ ーズのプ リ ミ テ ィ ブ名の末尾には E2 が付 き ますが、Virtex-6 FPGA のプ リ ミ テ ィ ブ名の末尾には _VIRTEX6 が付 き ます。

7 シ リ ーズデバ イ ス は、3.3V、2.5V、1.8V、 ま たは 1.5V I/O の コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ー フ ェ イ ス を サ ポー ト し て い ま す。 コ ン フ ィ ギ ュ レ ーシ ョ ン イ ン タ ー フ ェ イ ス には、 バ ン ク 0 の JTAG ピ ン、バン ク 0 の専用 コ ン フ ィ ギ ュ レーシ ョ ン ピ ン、 そ し て各 コ ン フ ィ ギ ュ レーシ ョ ンモー ド に関係す る バン ク 14 お よ びバン ク 15 の ピ ンがあ り ます。 バン ク 0、 バン ク 14、 バン ク 15 で適 正な コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス電圧をサポー ト す る には、 次の手順に従い ます。 • バン ク 0、14、15 の コ ン フ ィ ギ ュ レーシ ョ ンお よ び JTAG I/O を 3.3V/2.5V に設定す る には コ ン フ ィ ギ ュ レ ー シ ョ ン バ ン ク 電 圧 セ レ ク ト ピ ン (CFGBVS) を High (VCCO_0) に し 、 1.8V/1.5V に設定す る 場合は Low (GND) にす る 必要が あ り ま す。 こ の ピ ン を Low に し て 1.8V/1.5V I/O 動作 と す る 場合は、 デバ イ ス の損傷を防 ぐ ためにバン ク 0 に対す る VCCO_0の 電源電圧お よ び I/O 信号の電圧を 1.8V 以下にす る 必要があ り ます。 さ ら に、CFGBVS を Low に し た場合、 バン ク 14 お よ び 15 にあ り 、 コ ン フ ィ ギ ュ レーシ ョ ンに使用 さ れ る すべての I/O ピ ンの電源電圧 も 1.8V ま たは 1.5V と し 、 こ れで動作 さ せ る 必要があ り ます。詳細は、35ペー ジの 「 コ ン フ ィ ギ ュ レーシ ョ ンバン ク 電圧セ レ ク ト 」 を参照 し て く だ さ い。

バン ク 14 お よ びバン ク 15 にあ る I/O の動作電圧はそれぞれ VCCO_14お よ び VCCO_15電源に よ っ て決ま り ます。 バン ク 14 ま たはバン ク 15 が コ ン フ ィ ギ ュ レーシ ョ ンに使用 さ れ る 場合、 それぞれ のバン ク の VCCO電源は コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス全体で電圧の互換性が保たれ る よ う に、VCCO_0電圧 と 同 じ で あ る 必要が あ り ま す。CFGBVS を GND に接続 し て 1.8V/1.5V I/O 動作 と し 、バン ク 14 お よ びバン ク 15 で コ ン フ ィ ギ ュ レーシ ョ ン I/O が使用 さ れてい る 場合は、 デバ イ ス の損傷を防 ぐ ためにバン ク 14 ま たはバン ク 15 に対す る VCCO_14 ま たは VCCO_15 と コ ン フ ィ ギ ュ レーシ ョ ン信号の電圧を 1.8V ま たは 1.5V と す る 必要があ り ます。

大部分の 7 シ リ ー ズ FPGA は、 旧世代 FPGA に も 対応す る ISE Design Suite と 新 し い Vivado Design Suite の両方のツールでサポー ト さ れてい ます。 こ のユーザーガ イ ド に記載 さ れてい る ユー ザーオ プ シ ョ ン は通常、ISE Design Suite ツ ールの オ プ シ ョ ン 名 を 引用 し て い ま すが、Vivado Design Suite で も 同様のオプシ ョ ンが用意 さ れてい ます。 た と えば、ISE Design Suite では BitGen

ツールがビ ッ ト ス ト リ ーム を生成 し ます。Vivado では、WRITE_BITSTREAM の Tcl コ マ ン ド を 使用 し て ビ ッ ト ス ト リ ーム を生成で き ます。 詳細は、 次の資料を参照 し て く だ さ い。

• 『Vivado Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835)

• 『Vivado Design Suite ユーザーガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908)

注記 : BitGen の コ マ ン ド オプシ ョ ンは、Vivado Design Suite の Tcl プ ロ パテ ィ です。 プ ロ パテ ィ お よ び値は、UG908 の 「付録 A : デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ンビ ッ ト ス ト リ ーム設定」 を参 照 し て く だ さ い。

設計に関する考察事項

効率の良いシ ス テ ム を構築す る には、 ど の FPGA コ ン フ ィ ギ ュ レーシ ョ ンモー ド がシ ス テ ム要件 に合っ てい る のか を検討す る こ と が重要です。 いずれの コ ン フ ィ ギ ュ レーシ ョ ンモー ド で も 、 コ ン フ ィ ギ ュ レ ーシ ョ ン 専用の FPGA ピ ン だ け で な く そ の他の多目的 ピ ン も 一時的に コ ン フ ィ ギ ュ レーシ ョ ンに使用可能です。 コ ン フ ィ ギ ュ レーシ ョ ンが完了す る と 、 こ れ ら の多目的ピ ンは汎用ピ ン と な り ます。 同様に、 使用す る コ ン フ ィ ギ ュ レーシ ョ ンモー ド に よ り 、FPGA I/O バン ク の電圧 が制限 さ れ る こ と も あ り ます。 コ ン フ ィ ギ ュ レーシ ョ ン オプシ ョ ンはい く つかあ り 、 柔軟性があ り

(14)

ますが、 各シ ス テ ムに最適な ソ リ ュ ーシ ョ ンがあ る のが一般的です。 最適な コ ン フ ィ ギ ュ レーシ ョ ンオプシ ョ ン を選択す る には、 全体的な設定、 速度、 コ ス ト 、 複雑 さ と いっ た要因を考慮す る 必要 があ り ます。

コ ン フ ィ ギ ュ レーシ ョ ン

ビ ッ ト ス ト リ ームの長 さ

FPGA デザ イ ン を コ ン パ イ ルす る と ビ ッ ト ス ト リ ーム が生成 さ れ ま す。 こ れ を コ ン フ ィ ギ ュ レ ー シ ョ ン イ ン タ ーフ ェ イ ス か ら 読み込む と 、FPGA がそのデザ イ ンに コ ン フ ィ ギ ュ レーシ ョ ン さ れま す。 ビ ッ ト ス ト リ ーム全体の長 さ は固定長で、 各 FPGA のデバ イ ス ご と に決ま っ てい ます。表1-1 に、7 シ リ ーズ FPGA の ビ ッ ト ス ト リ ーム の長 さ 、 お よ び各 7 シ リ ーズデバ イ ス固有の情報を示 し ます。

(15)

表 1-1 : ビ ッ ト ス ト リ ームの長 さ デバイ ス コ ン フ ィ ギ ュ レー シ ョ ン ビ ッ ト ス ト リ ームの長 さ (ビ ッ ト) コ ン フ ィ ギ ュ レー シ ョ ン フ ラ ッ シ ュ メ モ リ の最小サイ ズ (Mb) JTAG/デバイ ス IDCODE[31:0] (16 進数)(1) Production IDCODE リ ビ ジ ョ ン JTAG 命令 長 さ (ビ ッ ト) SLR (Super Logic Region) Artix-7 フ ァ ミ リ

7A15T 17,536,096 32 X362E093 0 ま たはそれ以降 6 N/A

7A35T 17,536,096 32 X362D093 0 ま たはそれ以降 6 N/A 7A50T 17,536,096 32 X362C093 0 ま たはそれ以降 6 N/A 7A75T 30,606,304 32 X3632093 1 ま たはそれ以降 6 N/A 7A100T 30,606,304 32 X3631093 1 ま たはそれ以降 6 N/A 7A200T 77,845,216 128 X3636093 1 ま たはそれ以降 6 N/A Kintex-7 フ ァ ミ リ 7K70T 24,090,592 32 X3647093 0 ま たはそれ以降 6 N/A 7K160T 53,540,576 64 X364C093 0 ま たはそれ以降 6 N/A 7K325T 91,548,896 128 X3651093 4 ま たはそれ以降 6 N/A 7K355T 112,414,688 128 X3747093 0 ま たはそれ以降 6 N/A 7K410T 127,023,328 128 X3656093 1 ま たはそれ以降 6 N/A 7K420T 149,880,032 256 X3752093 2 ま たはそれ以降 6 N/A 7K480T 149,880,032 256 X3751093 2 ま たはそれ以降 6 N/A Virtex-7 フ ァ ミ リ 7V585T 161,398,880 256 X3671093 0 ま たはそれ以降 6 N/A 7V2000T 447,337,216 512 X36B3093(2) 2 ま たはそれ以降 24 4 7VX330T 111,238,240 128 X3667093 0 ま たはそれ以降 6 N/A 7VX415T 137,934,560 256 X3682093 3 ま たはそれ以降 6 N/A 7VX485T 162,187,488 256 X3687093 3 ま たはそれ以降 6 N/A 7VX550T 229,878,496 256 X3692093 3 ま たはそれ以降 6 N/A 7VX690T 229,878,496 256 X3691093 3 ま たはそれ以降 6 N/A 7VX980T 282,521,312 512 X3696093 0 ま たはそれ以降 6 N/A 7VX1140T 385,127,680 512 X36D5093 2 ま たはそれ以降 24 4 7VH580T 195,663,008 256 X36D9093 2 ま たはそれ以降 22 2 7VH870T 294,006,336 512 X36DB093 2 ま たはそれ以降 38 3 注記 :

1. JTAG IDCODE 値の 「X」 は リ ビ ジ ョ ン フ ィ ール ド (IDCODE[31:28]) を示 し 、 リ ビ ジ ョ ンに よ っ て異な り ます。

2. 7V2000T IDCODE には、 リ ビ ジ ョ ンフ ィ ール ド のほかに Don't Care ( 「X」 ) ビ ッ ト が追加で含ま れてい ます。Don't Care ビ ッ ト 位置を

(16)

FPGA

コ ン フ ィ ギ ュ レーシ ョ ン

デー タ

ソ ース

ザ イ リ ン ク ス 7 シ リ ーズ FPGA は柔軟性を最大限に考慮 し て設計 さ れてい ます。コ ン フ ィ ギ ュ レー シ ョ ンデー タ は、FPGA 自身が不揮発性フ ラ ッ シ ュ メ モ リ か ら 読み込む こ と も 、 プ ロ セ ッ サやマ イ ク ロ コ ン ト ロ ー ラ ーな ど の外部 イ ン テ リ ジ ェ ン ト デバ イ ス を使用 し て FPGA にダ ウ ン ロ ー ド す る こ と も で き ます。 ま た、 ホ ス ト コ ン ピ ュ ー タ ーか ら FPGA の JTAG ポー ト にケーブルを接続 し てダ ウ ン ロ ー ド す る こ と も で き ます。

マス タ ー

モー ド

FPGA 自身が ビ ッ ト ス ト リ ーム を読み込む コ ン フ ィ ギ ュ レーシ ョ ンモー ド を 「マ ス タ ー」 モー ド と 総称 し 、 デー タ パ ス はシ リ アルま たはパ ラ レルの ど ち ら も 使用で き ます。 こ のモー ド では、FPGA コ ン フ ィ ギ ュ レーシ ョ ンデー タ を さ ま ざ ま な タ イ プの不揮発性 メ モ リ に格納 し ます。マ ス タ ーモー ド では、 通常、 コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ームは FPGA と 同 じ ボー ド 上の外部不揮発 性 メ モ リ にあ り ます。 コ ン フ ィ ギ ュ レーシ ョ ン ロ ジ ッ ク を駆動 し 、CCLK 出力ピ ンに出力 さ れ る コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク 信号は、FPGA で内部オシ レー タ ーで生成 さ れます。 こ の コ ン フ ィ ギ ュ レーシ ョ ンプ ロ セ ス は FPGA に よ っ て制御 さ れます。

ス レーブ

モー ド

FPGA の コ ン フ ィ ギ ュ レーシ ョ ン を外部か ら 制御す る モー ド を 「ス レ ーブ」 モー ド と 総称 し ます。 こ の場合 も 、 デー タ パ ス はシ リ アル ま たはパ ラ レ ルの ど ち ら も 使用で き ま す。 ス レ ーブモー ド で は、 プ ロ セ ッ サ、 マ イ ク ロ コ ン ト ロ ー ラ ー、DSP プ ロ セ ッ サ、 テ ス タ ーな ど の外部 イ ン テ リ ジ ェ ン ト デバ イ ス を使用 し 、FPGA に コ ン フ ィ ギ ュ レーシ ョ ン イ メ ージ を ダ ウ ン ロ ー ド し ます (図1-1参 照)。 こ の コ ン フ ィ ギ ュ レーシ ョ ンの利点は、FPGA ビ ッ ト ス ト リ ーム を シ ス テ ムのほぼど こ にで も 格納で き る こ と です。 た と えば、 オン ボー ド の フ ラ ッ シ ュ メ モ リ にプ ロ セ ッ サの コ ー ド と 一緒に格 納す る こ と も で き ます。 ま た、 ハー ド デ ィ ス ク に保存 し た り 、 ネ ッ ト ワー ク やブ リ ッ ジ接続を使用 し てネ ッ ト ワー ク 上に保存す る こ と も 可能です。

(17)

ス レーブシ リ アルモー ド は、 ク ロ ッ ク と シ リ アルデー タ 入力のみで構成 さ れ る 非常に単純なモー ド です。JTAG モー ド も 単純なシ リ アル コ ン フ ィ ギ ュ レーシ ョ ンモー ド で、 プ ロ ト タ イ プの作成や ボー ド 検証に使用 さ れます。 ス レーブ SelectMAP モー ド は、 単純な x8、x16、 ま たは 32 ビ ッ ト 幅 のプ ロ セ ッ サペ リ フ ェ ラ ルイ ン タ ーフ ェ イ ス で、 チ ッ プセ レ ク ト 入力 と 読み出 し/書 き 込み制御入 力を含みます。

JTAG

接続

4 ピ ンの JTAG イ ン タ ーフ ェ イ ス は、 オン ボー ド テ ス タ ー と デバ ッ グ用ハー ド ウ ェ アに よ く 使用 さ れ ます。7 シ リ ーズ FPGA に対応 し た下記のザ イ リ ン ク ス プ ロ グ ラ ミ ン グケーブル も 、 プ ロ ト タ イ プダ ウ ン ロ ー ド お よ びデバ ッ グ用に JTAG イ ン タ ーフ ェ イ ス を使用 し ます。最終的にアプ リ ケー シ ョ ンで使用す る コ ン フ ィ ギ ュ レーシ ョ ンモー ド にかかわ ら ず、JTAG コ ン フ ィ ギ ュ レーシ ョ ンパ ス を含めてお く と デザ イ ン開発が容易にな り ます。第3章 「バ ウ ン ダ リ ス キ ャ ンお よ び JTAG コ ン フ ィ ギ ュ レーシ ョ ン」 も 参照 し て く だ さ い。 • プ ラ ッ ト フ ォーム ケーブル USB II http://japan.xilinx.com/products/devkits/HW-USB-II-G.htm

基本的な コ ン フ ィ ギ ュ レーシ ョ ン

ソ リ ュ ーシ ョ ン

基本的な コ ン フ ィ ギ ュ レーシ ョ ン ソ リ ュ ーシ ョ ン では、FPGA 自身が電源投入時に フ ラ ッ シ ュ メ モ リ デバ イ ス か ら ビ ッ ト ス ト リ ーム を自動的に読み込みます。FPGA には SPI (シ リ アルペ リ フ ェ ラ ルイ ン タ ーフ ェ イ ス) があ り 、 こ れを利用 し て標準の SPI フ ラ ッ シ ュ デバ イ ス か ら ビ ッ ト ス ト リ ーム を読み込みます。

ISE® に含まれ る iMPACT ツールは、 一部の SPI フ ラ ッ シ ュ メ モ リ に対す る プ ロ グ ラ ムが可能で す。iMPACT ツールは標準の JTAG イ ン タ ーフ ェ イ ス を利用 し て FPGA と 通信 し 、FPGA を通 じ

X-Ref Target - Figure 1-1

図 1-1 : ス レーブ コ ン フ ィ ギ ュ レーシ ョ ン モー ド

DIN

CCLK

SERIAL_DATA

CLOCK

7 Series FPGA

7 Series FPGA

7 Series FPGA

Processor,

Microcontroller

TDI

TMS

DATA_OUT

CLOCK

JTAG Tester,

Processor,

Microcontroller

TCK

TDO

MODE_SELECT

DATA_IN

D

RDWR_B

CCLK

CSI_B

DATA

SELECT

READ/WRITE

CLOCK

Processor,

Microcontroller

(c) Slave SelectMAP Mode

8, 16, 32

(a) Slave Serial Mode

(b) JTAG Mode

UG470_c1_01_070110

Serial

Byte-Wide

[7:0]

[15:0]

[31:0]

[7:0]

[15:0]

[31:0]

(18)

FPGA の コ ン フ ィ ギ ュ レーシ ョ ン』 (XAPP586) を参照 し て く だ さ い。 同 じ よ う な機能が Vivado デ バ イ ス プ ロ グ ラ マで提供 さ れてい ます。

低 コ ス ト 重視の コ ン フ ィ ギ ュ レーシ ョ ン

ソ リ ュ ーシ ョ ン

ど の コ ン フ ィ ギ ュ レ ーシ ョ ン方法が最 も 低 コ ス ト にな る かは、 ア プ リ ケーシ ョ ン に よ っ て異な り ま す。 • シ ス テ ムに使用可能な不揮発性 メ モ リ が既にあ る 場合、 ビ ッ ト ス ト リ ーム イ メ ージ を シ ス テ ム メ モ リ に格納で き ます。 ま た、 ハー ド ド ラ イ ブに保存 し た り 、 ネ ッ ト ワー ク 接続を介 し てダ ウ ン ロ ー ド で き ます。 その際には、 ダ ウ ン ロ ー ド モー ド を マ ス タ ー BPI モー ド と ス レーブ シ リ アルモー ド 、 ま たは JTAG コ ン フ ィ ギ ュ レーシ ョ ン モー ド と バ ウ ン ダ リ ス キ ャ ンのいずれか にす る 必要があ り ます。 • アプ リ ケーシ ョ ン で不揮発性 メ モ リ が必要な場合、 メ モ リ は統合可能です。 た と えば、FPGA コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ームはボー ド のプ ロ セ ッ サコ ー ド と 共に格納で き ます。 使用す る プ ロ セ ッ サが FPGA にエ ンベデ ッ ド さ れてい るMicroBlaze™ の場合、FPGA コ ン フ ィ ギ ュ レ ーシ ョ ン デー タ と MicroBlaze プ ロ セ ッ サの コ ー ド を同 じ 不揮発性 メ モ リ デバ イ ス に格納で き ます。

高速動作を重視 し たオプ シ ョ ン

アプ リ ケーシ ョ ンに よ っ ては、 ロ ジ ッ ク を短時間で動作可能にす る 必要があ り ます。FPGA の コ ン フ ィ ギ ュ レーシ ョ ン時間は、 各モー ド や方法に よ っ て異な り ます。 コ ン フ ィ ギ ュ レーシ ョ ン時間 と は、 コ ン フ ィ ギ ュ レーシ ョ ン自体にかか る 時間 と 初期化に必要な時間を合計 し た も ので、 デバ イ ス のサ イ ズお よ び コ ン フ ィ ギ ュ レーシ ョ ン ロ ジ ッ ク の速度に依存 し ます。 • 同一 ク ロ ッ ク 周波数で比較す る と 、 パ ラ レル コ ン フ ィ ギ ュ レーシ ョ ン モー ド は一度に 8 ビ ッ ト 、16 ビ ッ ト 、 ま たは 32 ビ ッ ト をプ ロ グ ラ ムす る ため、 シ リ アルモー ド よ り も 高速です。 • デ イ ジーチ ェーン接続 し た複数の FPGA を コ ン フ ィ ギ ュ レーシ ョ ンす る よ り 、1 つの FPGA を コ ン フ ィ ギ ュ レーシ ョ ンす る 方が短時間ですみます。複数の FPGA を使用 し たデザ イ ン で コ ン フ ィ ギ ュ レーシ ョ ン時間を短縮す る には、個々の FPGA を並列に コ ン フ ィ ギ ュ レーシ ョ ンす る 必要があ り ます。 • マ ス タ ーモー ド では、FPGA が コ ン フ ィ ギ ュ レーシ ョ ン ク ロ ッ ク を内部で生成 し 、CCLK ピ ン に送信 し ま す。 デ フ ォ ル ト では、CCLK の周波数は低 く 設定 さ れてい ま すが、 ビ ッ ト ス ト リ ームオプシ ョ ンの設定に よ っ て内部生成の CCLK の周波数を高 く し た り 、 あ る いは CCLK の ソ ース を EMCCLK ピ ンか ら の外部 ク ロ ッ ク ソ ース に切 り 替えた り で き ます。サポー ト さ れ る 最大 CCLK 周波数は、 接続 さ れてい る 不揮発性 メ モ リ の読み出 し 速度の仕様に依存 し ます。 高速な メ モ リ を使用す る と 、 よ り 高速な コ ン フ ィ ギ ュ レーシ ョ ンが可能です。CCLK の ソ ース に内部オシ レー タ ーを使用 し てい る 場合、 出力周波数はプ ロ セ ス、 電圧、 温度に よ っ て変動 し ます。 ク ロ ッ ク ソ ー ス に EMCCLK を使用す る と 高精度な外部 ク ロ ッ ク ソ ー ス を利用で き る ため、 最適な コ ン フ ィ ギ ュ レーシ ョ ンの性能を得 る こ と がで き ます。 • ス レーブモー ド で も マ ス タ ーモー ド で も 、EMCCLK を使用す る と タ イ ミ ン グ要件の厳 し い高 速 ク ロ ッ ク での動作 も 可能にな り ます。

不正な複製か ら

FPGA

ビ ッ ト ス ト リ ームを保護する

プ ロ セ ッ サ コ ー ド と 同様に、FPGA の機能を定義す る ビ ッ ト ス ト リ ームは電源投入時に FPGA に 読み込まれます。 こ のため、 他企業が こ のビ ッ ト ス ト リ ーム を入手 し てデザ イ ン を不正に複製 し て し ま う 可能性 も あ り ます。

(19)

プ ロ セ ッ サの よ う に、FPGA ビ ッ ト ス ト リ ーム と その中に埋め込ま れてい る IP コ ア を保護す る 方 法はい く つか あ り ま す。 最 も 強力な方法は、 バ ッ ク ア ッ プバ ッ テ リ 付 き SRAM キー利用の AES

と 、eFUSE キー利用の AES です。 こ れ以外の方法 と し て、 低レベルのセキ ュ リ テ ィ お よ び Device DNA を使用す る 「デバ イ ス認証」 があ り ます。 デバ イ ス認証の詳細は、第5章 「 コ ン フ ィ ギ ュ レー シ ョ ン の 詳細」 を 参照 し て く だ さ い。7 シ リ ー ズ デ バ イ ス に は、 オ ン チ ッ プ AES (Advanced Encryption Standard) 復号化 ロ ジ ッ ク があ り 、 デザ イ ン を高度なセキ ュ リ テ ィ で保護 し ます。 詳細 は、第5章 「 コ ン フ ィ ギ ュ レーシ ョ ンの詳細」 の「ビ ッ ト ス ト リ ーム暗号化」 を参照 し て く だ さ い。

同 じ コ ン フ ィ ギ ュ レーシ ョ ン

ビ ッ ト ス ト リ ームを複数の

FPGA

に読み込む

通常、 シ ス テ ム内では 1 つの FPGA に 1 つの コ ン フ ィ ギ ュ レーシ ョ ンビ ッ ト ス ト リ ーム イ メ ージ があ り ま す。 コ ン フ ィ ギ ュ レーシ ョ ン デ イ ジーチ ェ ーン を利用す る と 、1 つの コ ン フ ィ ギ ュ レー シ ョ ンフ ラ ッ シ ュ メ モ リ に複数の異な る FPGA ビ ッ ト ス ト リ ーム イ メ ージ を格納で き ます。 し か し 、 アプ リ ケーシ ョ ンにあ る すべての FPGA のパーツ番号 と ビ ッ ト ス ト リ ームが同 じ 場合、 ビ ッ ト ス ト リ ーム イ メ ージは 1 つだけでか ま い ません。ま た、同 じ ビ ッ ト ス ト リ ーム を、複数の同一 FPGA に読み込む こ と がで き る 連結 コ ン フ ィ ギ ュ レ ーシ ョ ン も あ り ま す。 こ の よ う な連結 コ ン フ ィ ギ ュ レーシ ョ ンは、 ス レーブシ リ アルモー ド ま たは ス レーブ SelectMAP モー ド でのみサポー ト さ れて い ます (第9章 「複数 FPGA の コ ン フ ィ ギ ュ レーシ ョ ン」 参照)。

コ ン フ ィ ギ ュ レーシ ョ ン方法を決定する要素

シ ス テ ムに最適な コ ン フ ィ ギ ュ レーシ ョ ン ソ リ ュ ーシ ョ ン を決定す る 要素は多数あ り 、 ま た、 考慮 すべ き 詳細 も 数多 く あ り ます。設計段階の終盤にな っ て問題が生 じ る こ と のない よ う 、コ ン フ ィ ギ ュ レーシ ョ ン方法は慎重に選択す る 必要があ り ます。 特に、 コ ン フ ィ ギ ュ レーシ ョ ン専用ピ ン と コ ン フ ィ ギ ュ レーシ ョ ン後に再利用可能な ピ ンの違いを 理解 し てお く こ と が必要です。 詳細は、第5章 「 コ ン フ ィ ギ ュ レーシ ョ ンの詳細」 を参照 し て く だ さ い。 ま た、 デー タ フ ァ イ ル形式お よ びビ ッ ト ス ト リ ームのサ イ ズ も 考慮す る 必要があ り ます。 ビ ッ ト ス ト リ ームのサ イ ズはデバ イ スサ イ ズに よ っ て異な り 、 ビ ッ ト ス ト リ ーム も い く つかの形式で生成で き ます。 FPGA の コ ン フ ィ ギ ュ レーシ ョ ンプ ロ セ ス には多 く の手順が含まれます。 ま た、1 つの手順は複数 の連続 し た イ ベン ト (シーケ ン ス) で構成 さ れ る こ と がほ と ん ど です。 た と えば、 最初に実行す る 手 順は複数の電源に対す る パ ワーア ッ プシーケ ン ス です。全体的な コ ン フ ィ ギ ュ レーシ ョ ン時間を把 握す る には、 こ れ ら 手順の 1 つ 1 つにかか る 時間を求め る 必要があ り ます。Vivado ツールは、 コ ン フ ィ ギ ュ レーシ ョ ン時間の概算に使用可能な Tcl コ マ ン ド 、CALC_CONFIG_TIME を提供 し ま す。 使用法を確認す る には、 help calc_config_timeを利用 し て く だ さ い。 詳細は、第5章 「 コ ン フ ィ ギ ュ レーシ ョ ンの詳細」 を参照 し て く だ さ い。

ス タ ッ ク ド

シ リ コ ン

イ ン タ ー コ ネ ク ト

(SSI)

表1-1に示す、2 つ以上の SLR (Super Logic Region) を使用す る デバ イ ス は ス タ ッ ク ド シ リ コ ン イ ン タ ー コ ネ ク ト (SSI) テ ク ノ ロ ジ を採用 し てい ます。こ のテ ク ノ ロ ジ を用いた Virtex-7 FPGA も 、

7 シ リ ーズのモ ノ リ シ ッ クデバ イ ス と 同 じ コ ン フ ィ ギ ュ レーシ ョ ンモー ド をサポー ト し ます。 グ ロ ーバルコ ン フ ィ ギ ュ レーシ ョ ン機能は、SSI デバ イ ス のマ ス タ ー SLR (Super Logic Region) か ら デ フ ォ ル ト で制御 さ れ る よ う にな っ てい ま す。表1-2に示す と お り 、SSI デバ イ ス の SPI コ ン フ ィ ギ ュ レーシ ョ ンでは ICAP の読み出 し/書 き 込みア ク セ ス は制限 さ れます。

(20)

SSI デバ イ ス の JTAG コ ン フ ィ ギ ュ レーシ ョ ンは、iMPACT のみを介 し てサポー ト さ れ る か、 あ る いは JTAG ケーブル接続ま たは SVF (Serial Vector Fromat) フ ァ イ ルのいずれかを使用す る Vivado

デバ イ スプ ロ グ ラ マ を介 し てサポー ト さ れます。 第6章 「 リ ー ド バ ッ ク お よ び コ ン フ ィ ギ ュ レーシ ョ ンの検証」 (表6-1~表6-5) お よ び第7章 「 リ コ ン フ ィ ギ ュ レーシ ョ ンお よ びマルチブー ト 」 (表7-1、表7-6、表7-7) に示 し た コ マ ン ド シーケ ン ス の例はモ ノ リ シ ッ ク な 7 シ リ ーズデバ イ ス に対応す る も ので、SSI デバ イ ス には対応 し てい ま せん。 SSI テ ク ノ ロ ジの詳細は、 『ザ イ リ ン ク ス の ス タ ッ ク ド シ リ コ ン イ ン タ ー コ ネ ク ト テ ク ノ ロ ジで飛 躍的な FPGA 容量、 帯域幅、 電力効率を実現』 (WP380) を参照 し て く だ さ い。 表 1-2 : コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ への ICAP のア ク セス デバイ ス タ イ プ コ ン フ ィ ギ ュ レーシ ョ ン モー ド コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ への ICAP のア ク セス モ ノ リ シ ッ クデバ イ ス すべてのモー ド デバ イ ス全体 SSI デバ イ ス マス タ ー SLR ICAP ス レーブ SLR ICAP SPI x1 マ ス タ ー SLR のみ(1) ス レーブ SLR

SPI x2、SPI x4 N/A(2)

その他すべてのモー ド デバ イ ス全体 ス レーブ SLR

注記 :

1. SPI モー ド での コ ン フ ィ ギ ュ レーシ ョ ン時、マ ス タ ー ICAP は ス レーブ SLR の コ ン フ ィ ギ ュ レーシ ョ ン メ

モ リ にア ク セ ス で き ません。 し たがっ て、 パーシ ャ ルリ コ ン フ ィ ギ ュ レーシ ョ ンでは SPI x1 コ ン フ ィ ギ ュ

レーシ ョ ンモー ド で ロ ーカル SLR にあ る ICAP を使用す る 必要があ り ます。

2. SPI x2 ま たは SPI x4 モー ド での コ ン フ ィ ギ ュ レーシ ョ ン時、 ス レーブ SLR の ICAP は使用で き ません。

し たが っ て、 パーシ ャ ルリ コ ン フ ィ ギ ュ レーシ ョ ンはマ ス タ ー SLR に制限 さ れ、SEM IP (誤 り 訂正) はサ

(21)

コ ン フ ィ ギ ュ レーシ ョ ンのデバ ッ グ

こ こ で説明す る プ ラ ク テ ィ スは、 コ ン フ ィ ギ ュ レーシ ョ ン ソ リ ュ ーシ ョ ンの実行時に問題に直面 し た場合のデバ ッ グや解決 と な り ます。 完全なデバ ッ グ を実行す る 前に、 ビ ッ ト ス ト リ ームのデフ ォ ル ト (カ ウ ン タ ーや LED な ど) を使用 し て簡単なデザ イ ン を作成 し 、 テ ス ト し て く だ さ い。 簡単な デザ イ ン を テ ス ト す る こ と に よ っ て、高度な ビ ッ ト ス ト リ ーム設定ま たはボー ド イ ン タ ーフ ェ イ ス で生 じ る 可能性があ る 問題を除外で き ま す。 フ ラ ッ シ ュ メ モ リ か ら ではな く JTAG を使用す る な ど、 異な る 方法を用いて コ ン フ ィ ギ ュ レーシ ョ ン を試 し 、 問題が コ ン フ ィ ギ ュ レーシ ョ ンモー ド 固 有の も のか ど う か を確認 し ます。 さ ら に情報が必要な場合は、 ザ イ リ ン ク ス コ ン フ ィ ギ ュ レーシ ョ ン ソ リ ュ ーシ ョ ンセ ン タ ーjapan.xilinx.com/support/answers/34904.htmを参照 し て く だ さ い。

INIT_B と DONE の 2 つが最 も 重要な コ ン フ ィ ギ ュ レーシ ョ ン信号で、 こ れ ら を LED ド ラ イ バー に接続 し ます。INIT_B が Low か ら High にパルス さ れ る と 、 電源投入時の初期化が完了 し た こ と を示 し ます。 コ ン フ ィ ギ ュ レーシ ョ ン中の INIT_B 信号の立ち下が り エ ッ ジは、FPGA デバ イ ス で ビ ッ ト ス ト リ ームの CRC エ ラ ーが確認 さ れた こ と を示 し ます。 コ ン フ ィ ギ ュ レーシ ョ ンお よ びそ の他の ピ ンに関す る 推奨事項は、 『7 シ リ ーズ回路図デザ イ ンのチ ェ ッ ク リ ス ト 』 (XMP277) を参照 し て く だ さ い。 コ ン フ ィ ギ ュ レーシ ョ ンが正 し く 完了 し なかっ た場合、 ス テー タ ス レ ジ ス タ がその 原因 と な る エ ラ ーについて重要な情報を示 し ます。JTAG の リ ー ド バ ッ ク/検証で、 目的の コ ン フ ィ ギ ュ レーシ ョ ンデー タ がデバ イ ス に正 し く 読み込ま れたか ど う か を判断で き ます。 コ ン フ ィ ギ ュ レーシ ョ ン シ ミ ュ レーシ ョ ンモデル (SIM_CONFIG) を使用す る と 、サポー ト 対象の コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス のシ ミ ュ レーシ ョ ンが可能です。 こ のモデルは、 サポー ト さ れ る デバ イ ス が コ ン フ ィ ギ ュ レ ーシ ョ ン イ ン タ ーフ ェ イ ス の ス テ ィ ミ ュ ラ ス に対 し て ど の よ う に反応す る か を示 し ます。 詳細は、 『合成/シ ミ ュ レーシ ョ ンデザ イ ンガ イ ド 』 (UG626) を参照 し て く だ さ い。

(22)
(23)

コ ン フ ィ ギ ュ レーシ ョ ン

イ ン タ ー フ ェ イ ス

ザ イ リ ン ク ス 7 シ リ ーズデバ イ ス には 5 種類の コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス があ り ます。表2-1に示す よ う に、 各 イ ン タ ーフ ェ イ スは 1 つ以上の コ ン フ ィ ギ ュ レーシ ョ ンモー ド お よ びバス幅に対応 し ます。 イ ン タ ーフ ェ イ ス の タ イ ミ ン グ情報の詳細は、 各 7 シ リ ーズ FPGA デー タ シー ト を参照 し て く だ さ い。 コ ン フ ィ ギ ュ レーシ ョ ンの タ イ ミ ン グは、CCLK が内部で生成 さ れ る マ ス タ ーモー ド で も CCLK ピ ンに対す る も のです。

コ ン フ ィ ギ ュ レーシ ョ ン

ピ ン

各 コ ン フ ィ ギ ュ レーシ ョ ンモー ド では複数の イ ン タ ーフ ェ イ ス ピ ン を使用 し ま す。 こ れ ら の ピ ン は、7 シ リ ーズ FPGA の複数の I/O バン ク に ま たが る 場合があ り ま す。 バン ク 0 には専用の コ ン フ ィ ギ ュ レーシ ョ ン ピ ン が含 ま れ、 こ れはすべての コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス で 使用 さ れ ます。 特定の コ ン フ ィ ギ ュ レーシ ョ ンモー ド では、 バン ク 14 と バン ク 15 に含 ま れ る 多 目的ピ ン を コ ン フ ィ ギ ュ レーシ ョ ンに使用 し ます。7 シ リ ーズ FPGA のデー タ シー ト には、3.3V、 2.5V、1.8V、 ま たは 1.5V で動作す る バン ク にあ る コ ン フ ィ ギ ュ レーシ ョ ンピ ンの ス イ ッ チ特性が 記載 さ れてい ます。 JTAG お よ び専用 コ ン フ ィ ギ ュ レーシ ョ ンの ピ ンはすべて 1 つの専用バン ク にあ り 、 専用の電源電 圧 (VCCO_0) が供給 さ れます。 多目的ピ ンはバン ク 14 と バン ク 15 にあ り ます。

専 用 入 力 ピ ン は す べ て、VCCO_0 LVCMOS レ ベ ル (LVCMOS18、LVCMOS25、 ま た は

LVCMOS33) で動作 し ま す。 ア ク テ ィ ブ な専用出力 ピ ン はすべて、LVCMOS、12mA 駆動能力、

Fast スルーレー ト に設定 さ れて VCCO_0 電圧レベルで動作 し ます。 多目的 I/O を使用す る モー ド では、 関連す る VCCO_14 ま たは VCCO_15 をデバ イ ス コ ン フ ィ ギ ュ レーシ ョ ンの I/O 規格に一 表 2-1 : 7 シ リ ーズ FPGA の コ ン フ ィ ギ ュ レーシ ョ ンモー ド コ ン フ ィ ギ ュ レーシ ョ ン モー ド M[2:0] バス幅 CCLK の方向 マ ス タ ーシ リ アル 000 x1 出力 マ ス タ ー SPI 001 x1、x2、x4 出力 マ ス タ ー BPI 010 x8、x16 出力 マ ス タ ー SelectMAP 100 x8、x16 出力 JTAG 101 x1 該当な し ス レーブ SelectMAP 110 x8、x16、x32(1) 入力 ス レーブシ リ アル(2) 111 x1 入力 注記 : 1. ス レーブ SelectMAP の x16 お よ び x32 バ ス幅では、 ビ ッ ト ス ト リ ームの AES 暗号化はサポー ト さ れて い ません。 2. モー ドピ ンには内部プルア ッ プ抵抗があ る ため、 こ れがデフ ォ ル ト の設定 と な り ます。

(24)

致す る 電圧に接続す る 必要が あ り ま す。 ま た、 コ ン フ ィ ギ ュ レ ーシ ョ ン 実行中の多目的 ピ ン は、

LVCMOS、12mA 駆動能力、Fast ス ルー レー ト です。Persist モー ド を使用す る と (132ページの 「Persist オプシ ョ ン」参照)、 コ ン フ ィ ギ ュ レーシ ョ ン後 も 選択 し た コ ン フ ィ ギ ュ レーシ ョ ンモー ド の多目的 I/O はア ク テ ィ ブな状態を維持 し ます。 こ の際、 汎用 I/O はデフ ォ ル ト の I/O 規格であ る

LVCMOS、12mA 駆動能力、 スルーレー ト と な り ます。 表2-2と表2-3に、 各 コ ン フ ィ ギ ュ レーシ ョ ンモー ド で使用す る ピ ン と 、 その ピ ンが属す る I/O バ ン ク の一覧を示 し ます。 表 2-2 : コ ン フ ィ ギ ュ レーシ ョ ン モー ド と ピ ンの対応表 (前半) ピ ン名 バン ク (専用の場合JTAG ) ス レ ーブシ リ アル マ ス タ ーシ リ アル マ ス タ ー SPI x1 x2 x4 CFGBVS 0 CFGBVS CFGBVS CFGBVS CFGBVS CFGBVS CFGBVS M[2:0] 0 M[2:0]=101 M[2:0]=111 M[2:0]=000 M[2:0]=001 M[2:0]=001 M[2:0]=001 TCK 0 TCK TCK TCK TCK TCK TCK TMS 0 TMS TMS TMS TMS TMS TMS

TDI 0 TDI TDI TDI TDI TDI TDI

TDO 0 TDO TDO TDO TDO TDO TDO

PROGRAM_B 0 PROGRAM_B PROGRAM_B PROGRAM_B PROGRAM_B PROGRAM_B PROGRAM_B

INIT_B 0 INIT_B INIT_B INIT_B INIT_B INIT_B INIT_B

DONE 0 DONE DONE DONE DONE DONE DONE

CCLK 0 CCLK CCLK CCLK CCLK CCLK CCLK

PUDC_B(1) 14 PUDC_B(1) PUDC_B(1) PUDC_B(1) PUDC_B(1) PUDC_B(1) PUDC_B(1)

EMCCLK(2) 14 EMCCLK(2) EMCCLK(2) EMCCLK(2) EMCCLK(2)

CSI_B 14 – – – – – –

DOUT_CSO_B(3)(4) 14 [DOUT](3) [DOUT](3) [DOUT](3)

RDWR_B 14 – – – – – –

FCS_B 14 – – – FCS_B FCS_B FCS_B

D00_MOSI 14 – – – MOSI MOSI/D00 MOSI/D00

D01_DIN 14 – DIN DIN DIN DIN/D01 DIN/D01

D02 14 – – – – – D02 D03 14 – – – – – D03 D[04-07] 14 – – – – – – D[08-15] 14 – – – – – – A[00-15]_D[16-31] 14 – – – – – – A[16-28] 15 – – – – – – FOE_B 15 – – – – – – FWE_B 15 – – – – – – ADV_B 15 – – – – – –

(25)

RS0、RS1(5) 15 RS0RS1(5) RS0RS1(5) RS0RS1(5) RS0RS1(5) RS0RS1(5) RS0RS1(5)

注記 :

1. PUDC_B は コ ン フ ィ ギ ュ レーシ ョ ン中に特別な機能を実行 し ますが、 すべての コ ン フ ィ ギ ュ レーシ ョ ンイ ン タ ーフ ェ イ ス か ら 独立 し て

い る ため、PUDC_B の電圧は同 じ コ ン フ ィ ギ ュ レーシ ョ ンイ ン タ ーフ ェ イ ス のほかの ピ ン と 互換であ る 必要はあ り ません。

2. EMCCLK は、ExtMasterCclk_en オプシ ョ ン で EMCCLK を マ ス タ ーコ ン フ ィ ギ ュ レーシ ョ ンモー ド の外部 ク ロ ッ ク 入力に設定 し た場

合のみ使用 し ます。

3. DOUT は、 デ イ ジーチ ェ ーン のシ リ アルコ ン フ ィ ギ ュ レーシ ョ ン で下位の FPGA にデー タ を出力す る 場合 (ま たは DebugBitstream オ

プシ ョ ン を指定 し た場合) にのみ使用 し ます。 それ以外の場合はハ イ イ ン ピーダ ン ス です。 4. CSO_B は、 デ イ ジーチ ェ ーン のパ ラ レルコ ン フ ィ ギ ュ レーシ ョ ン で下位のデバ イ ス にチ ッ プイ ネーブル信号を出力す る 場合のみ使用 し ます。 それ以外の場合はハ イ イ ン ピーダ ン ス です。 5. RS0 と RS1 はマルチブー ト イ ベン ト が開始 さ れた場合、 ま たは ConfigFallback オプシ ョ ン を有効に し て フ ォールバ ッ ク イ ベン ト が発 生 し た場合のみ駆動 さ れ ます。 それ以外の場合は、 両者 と も ハ イ イ ン ピーダ ン ス です。 6. 空欄のセルは、 該当す る コ ン フ ィ ギ ュ レーシ ョ ン モー ド でその ピ ンが使用 さ れない こ と を示 し てい ます。 こ れ ら は無視 さ れ、 コ ン フ ィ ギ ュ レーシ ョ ン中はハ イ イ ン ピーダ ン ス と な り ます。 表 2-2 : コ ン フ ィ ギ ュ レーシ ョ ン モー ド と ピ ンの対応表 (前半) (続き) ピ ン名 バン ク (専用の場合JTAG ) ス レ ーブシ リ アル マ ス タ ーシ リ アル マ ス タ ー SPI x1 x2 x4 表 2-3 : コ ン フ ィ ギ ュ レーシ ョ ン モー ド と ピ ンの対応表 (後半) ピ ン名 バン ク

マス タ ー SelectMAP ス レ ーブ SelectMAP マス タ ー BPI

x8 x16 x8 x16 x32 x8 x16

CFGBVS 0 CFGBVS CFGBVS CFGBVS CFGBVS CFGBVS CFGBVS CFGBVS

M[2:0] 0 M[2:0]=100 M[2:0]=100 M[2:0]=110 M[2:0]=110 M[2:0]=110 M[2:0]=010 M[2:0]=010

TCK 0 TCK TCK TCK TCK TCK TCK TCK

TMS 0 TMS TMS TMS TMS TMS TMS TMS

TDI 0 TDI TDI TDI TDI TDI TDI TDI

TDO 0 TDO TDO TDO TDO TDO TDO TDO

PROGRAM_B 0 PROGRAM_B PROGRAM_B PROGRAM_B PROGRAM_B PROGRAM_B PROGRAM_B PROGRAM_B

INIT_B 0 INIT_B INIT_B INIT_B INIT_B INIT_B INIT_B INIT_B

DONE 0 DONE DONE DONE DONE DONE DONE DONE

CCLK 0 CCLK CCLK CCLK CCLK CCLK

PUDC_B(1) 14 PUDC_B(1) PUDC_B(1) PUDC_B(1) PUDC_B(1) PUDC_B(1) PUDC_B(1) PUDC_B(1)

EMCCLK(2) 14 EMCCLK(2) EMCCLK(2) EMCCLK(2) EMCCLK(2)

CSI_B 14 CSI_B CSI_B CSI_B CSI_B CSI_B – –

DOUT_CSO_B(3)(4) 14 [CSO_B](4) [CSO_B](4) [CSO_B](4) [CSO_B](4) [CSO_B](4) [CSO_B](4) [CSO_B](4)

RDWR_B 14 RDWR_B RDWR_B RDWR_B RDWR_B RDWR_B – – FCS_B 14 – – – – – FCS_B FCS_B D00_MOSI 14 D00 D00 D00 D00 D00 D00 D00 D01_DIN 14 D01 D01 D01 D01 D01 D01 D01 D02 14 D02 D02 D02 D02 D02 D02 D02 D03 14 D03 D03 D03 D03 D03 D03 D03 D[04-07] 14 D[04-07] D[04-07] D[04-07] D[04-07] D[04-07] D[04-07] D[04-07] D[08-15] 14 – D[08-15] – D[08-15] D[08-15] – D[08-15]

A[00-15]_D[16-31] 14 – – – D[16-31] A[00-15] A[00-15]

表  1-1 :  ビ ッ ト ス ト リ ームの長 さ デバイ ス コ ン フ ィ ギ ュ レーシ ョ ンビ ッ ト ス ト リ ームの長 さ ( ビ ッ ト ) コ ン フ ィ ギ ュ レーシ ョ ン フ ラ ッ シ ュ メ モ リ の最小サイ ズ(Mb) JTAG/ デバイ ス IDCODE[31:0] (16 進数)(1) Production IDCODE リ ビ ジ ョ ン JTAG  命令長 さ (ビ ッ ト ) SLR  (Super Logic Region) Artix-7  フ ァ ミ
表  2-4 :  コ ン フ ィ ギ ュ レーシ ョ ン ピ ンの定義  ( 続き )
表  2-4 :  コ ン フ ィ ギ ュ レーシ ョ ン ピ ンの定義  ( 続き )
図 2-2 について説明 し ます。
+7

参照

関連したドキュメント

ON Semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does ON Semiconductor assume any

• To limit the potential for development of disease resistance to these fungicide classes, do not make more than 2 sequential applications of LUNA EXPERIENCE or any Group 7 or Group

NO WARRANTIES OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING WARRANTIES OF MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE, ARE MADE REGARDING PRODUCTS DESCRIBED OR

information, product features, availability, functionality, or suitability of its products for any particular purpose, nor does onsemi assume any liability arising out of

Applying EXIREL insect control with any product that produces adverse crop response in a tank mixture, specifically including, but not limited to, those listed in the individual

information, product features, availability, functionality, or suitability of its products for any particular purpose, nor does onsemi assume any liability arising out of

ON Semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does ON Semiconductor assume any

To limit the potential for development of disease resistance to these fungicide classes, do not make more than 2 sequential applications of LUNA SENSATION or any Group 7 or Group